Ticket #52821: Portfile-iverilog.diff

File Portfile-iverilog.diff, 1.0 KB (added by padf, 8 years ago)

Updated diff file

  • Portfile

    old new  
    44PortSystem          1.0
    55
    66name                iverilog
    7 version             0.9.7
     7version             10.1.1
    88set branch          [join [lrange [split ${version} .] 0 1] .]
    99categories          science
    1010license             GPL-2+
    1111platforms           darwin
    12 maintainers         nomaintainer
     12maintainers         paf_at_keeh_dot_net
    1313
    1414description         Icarus Verilog
    1515
     
    2626master_sites        ftp://ftp.icarus.com/pub/eda/verilog/v${branch}/
    2727distname            verilog-${version}
    2828
    29 checksums           rmd160  3bac03ca703465a43c5a0a8175a3d27ee00f49a7 \
    30                     sha256  7a5e72e17bfb4c3a59264d8f3cc4e70a7c49c1307173348fdd44e079388e7454
     29checksums           rmd160  77c933b712ab027b13a81e3eead7ee4f565741b7 \
     30                    sha256  fdaa75dfe7c58cbc471fc12710ee49b3f32fd6cc055d9181b5190cbcbbd6cada
    3131
    3232depends_lib         port:bzip2 \
    3333                    port:readline \