Ticket #70788: graphvizFail.log

File graphvizFail.log, 706.5 KB (added by dnaumann, 23 hours ago)

log file showing compiler errors building graphviz

Line 
1version:1
2:debug:clean Starting logging for graphviz @9.0.0_1+pangocairo+x11
3:debug:sysinfo macOS 14.7 (darwin/23.6.0) arch arm
4:debug:sysinfo MacPorts 2.10.1
5:debug:sysinfo Xcode 16.0, CLT 16.0.0.0.1.1724870825
6:debug:sysinfo SDK 14
7:debug:sysinfo MACOSX_DEPLOYMENT_TARGET: 14.0
8:debug:clean Attempting ln -sf /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/graphviz/work
9:debug:clean dropping privileges: euid changed to 502, egid changed to 501.
10:debug:main Executing org.macports.main (graphviz)
11:debug:main Privilege de-escalation not attempted as not running as root.
12:debug:archivefetch archivefetch phase started at Wed Sep 18 17:44:27 EDT 2024
13:msg:archivefetch --->  Fetching archive for graphviz
14:debug:archivefetch Executing org.macports.archivefetch (graphviz)
15:debug:archivefetch euid/egid changed to: 0/0
16:debug:archivefetch chowned /opt/local/var/macports/incoming to macports
17:debug:archivefetch euid/egid changed to: 502/501
18:info:archivefetch --->  graphviz-9.0.0_1+pangocairo+x11.darwin_23.arm64.tbz2 doesn't seem to exist in /opt/local/var/macports/incoming/verified
19:msg:archivefetch --->  Attempting to fetch graphviz-9.0.0_1+pangocairo+x11.darwin_23.arm64.tbz2 from https://packages.macports.org/graphviz
20:debug:archivefetch Fetching archive failed: The requested URL returned error: 404
21:msg:archivefetch --->  Attempting to fetch graphviz-9.0.0_1+pangocairo+x11.darwin_23.arm64.tbz2 from http://bos.us.packages.macports.org/graphviz
22:debug:archivefetch Fetching archive failed: The requested URL returned error: 404
23:msg:archivefetch --->  Attempting to fetch graphviz-9.0.0_1+pangocairo+x11.darwin_23.arm64.tbz2 from https://mirrors.mit.edu/macports/packages/graphviz
24:debug:archivefetch Fetching archive failed: The requested URL returned error: 404
25:debug:archivefetch Privilege de-escalation not attempted as not running as root.
26:debug:fetch fetch phase started at Wed Sep 18 17:44:28 EDT 2024
27:notice:fetch --->  Fetching distfiles for graphviz
28:debug:fetch elevating privileges for fetch: euid changed to 0, egid changed to 0.
29:debug:fetch dropping privileges: euid changed to 502, egid changed to 501.
30:debug:fetch Executing org.macports.fetch (graphviz)
31:info:fetch --->  graphviz-9.0.0.tar.gz does not exist in /opt/local/var/macports/distfiles/graphviz
32:notice:fetch --->  Attempting to fetch graphviz-9.0.0.tar.gz from https://distfiles.macports.org/graphviz
33:debug:fetch Privilege de-escalation not attempted as not running as root.
34:debug:checksum checksum phase started at Wed Sep 18 17:44:36 EDT 2024
35:notice:checksum --->  Verifying checksums for graphviz
36:debug:checksum Executing org.macports.checksum (graphviz)
37:info:checksum --->  Checksumming graphviz-9.0.0.tar.gz
38:debug:checksum Calculated (rmd160) is 11d04abba7170b7de389b1bba0bfd43856ec0710
39:debug:checksum Correct (rmd160) checksum for graphviz-9.0.0.tar.gz
40:debug:checksum Calculated (sha256) is 3547d90dc80f0ac4cd900ec1a824c319a479c1e8f43883451de1aff293d7a07b
41:debug:checksum Correct (sha256) checksum for graphviz-9.0.0.tar.gz
42:debug:checksum Calculated (size) is 27479799
43:debug:checksum Correct (size) checksum for graphviz-9.0.0.tar.gz
44:debug:checksum Privilege de-escalation not attempted as not running as root.
45:debug:extract extract phase started at Wed Sep 18 17:44:37 EDT 2024
46:notice:extract --->  Extracting graphviz
47:debug:extract Executing proc-pre-org.macports.extract-extract-0
48:debug:extract Executing org.macports.extract (graphviz)
49:info:extract --->  Extracting graphviz-9.0.0.tar.gz
50:debug:extract setting option extract.args to '/opt/local/var/macports/distfiles/graphviz/graphviz-9.0.0.tar.gz'
51:debug:extract Environment:
52:debug:extract CC_PRINT_OPTIONS='YES'
53:debug:extract CC_PRINT_OPTIONS_FILE='/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/.CC_PRINT_OPTIONS'
54:debug:extract CPATH='/opt/local/include'
55:debug:extract DEVELOPER_DIR='/Library/Developer/CommandLineTools'
56:debug:extract LIBRARY_PATH='/opt/local/lib'
57:debug:extract MACOSX_DEPLOYMENT_TARGET='14.0'
58:debug:extract MACPORTS_LEGACY_SUPPORT_DISABLED='1'
59:debug:extract SDKROOT='/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk'
60:debug:extract SOURCE_DATE_EPOCH='1725307779'
61:info:extract Executing:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work" && /usr/bin/gzip -dc '/opt/local/var/macports/distfiles/graphviz/graphviz-9.0.0.tar.gz' | /usr/bin/tar -xf -
62:debug:extract system:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work" && /usr/bin/gzip -dc '/opt/local/var/macports/distfiles/graphviz/graphviz-9.0.0.tar.gz' | /usr/bin/tar -xf -
63:debug:extract euid/egid changed to: 0/0
64:debug:extract chowned /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work to macports
65:debug:extract euid/egid changed to: 502/501
66:debug:extract Privilege de-escalation not attempted as not running as root.
67:debug:patch patch phase started at Wed Sep 18 17:44:37 EDT 2024
68:debug:patch Executing org.macports.patch (graphviz)
69:debug:patch Privilege de-escalation not attempted as not running as root.
70:debug:configure configure phase started at Wed Sep 18 17:44:37 EDT 2024
71:notice:configure --->  Configuring graphviz
72:debug:configure Preferred compilers: clang macports-clang-17 macports-clang-16 macports-clang-15 macports-clang-14 macports-clang-11
73:debug:configure Using compiler 'Xcode Clang'
74:debug:configure Executing org.macports.configure (graphviz)
75:debug:configure Environment:
76:debug:configure CC_PRINT_OPTIONS='YES'
77:debug:configure CC_PRINT_OPTIONS_FILE='/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/.CC_PRINT_OPTIONS'
78:debug:configure CPATH='/opt/local/include'
79:debug:configure DEVELOPER_DIR='/Library/Developer/CommandLineTools'
80:debug:configure LIBRARY_PATH='/opt/local/lib'
81:debug:configure MACOSX_DEPLOYMENT_TARGET='14.0'
82:debug:configure SDKROOT='/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk'
83:debug:configure SOURCE_DATE_EPOCH='1725307779'
84:info:configure Executing:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0" && autoreconf -fvi
85:debug:configure system:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0" && autoreconf -fvi
86:info:configure autoreconf: export WARNINGS=
87:info:configure autoreconf: Entering directory '.'
88:info:configure autoreconf: configure.ac: not using Gettext
89:info:configure autoreconf: running: /opt/local/bin/aclocal --force -I m4
90:info:configure autoreconf: configure.ac: tracing
91:info:configure autoreconf: configure.ac: adding subdirectory libltdl to autoreconf
92:info:configure autoreconf: Entering directory 'libltdl'
93:info:configure autoreconf: configure.ac: not using Gettext
94:info:configure autoreconf: running: /opt/local/bin/aclocal --force -I ../m4
95:info:configure autoreconf: configure.ac: tracing
96:info:configure autoreconf: running: /opt/local/bin/glibtoolize --copy --force --ltdl
97:info:configure glibtoolize: putting auxiliary files in AC_CONFIG_AUX_DIR, '../config'.
98:info:configure glibtoolize: copying file '../config/compile'
99:info:configure glibtoolize: copying file '../config/config.guess'
100:info:configure glibtoolize: copying file '../config/config.sub'
101:info:configure glibtoolize: copying file '../config/depcomp'
102:info:configure glibtoolize: copying file '../config/install-sh'
103:info:configure glibtoolize: copying file '../config/missing'
104:info:configure glibtoolize: copying file '../config/ltmain.sh'
105:info:configure glibtoolize: putting macros in '../m4'.
106:info:configure glibtoolize: copying file '../m4/libtool.m4'
107:info:configure glibtoolize: copying file '../m4/ltargz.m4'
108:info:configure glibtoolize: copying file '../m4/ltdl.m4'
109:info:configure glibtoolize: copying file '../m4/ltoptions.m4'
110:info:configure glibtoolize: copying file '../m4/ltsugar.m4'
111:info:configure glibtoolize: copying file '../m4/ltversion.m4'
112:info:configure glibtoolize: copying file '../m4/lt~obsolete.m4'
113:info:configure glibtoolize: putting libltdl files in LT_CONFIG_LTDL_DIR, '.'.
114:info:configure glibtoolize: copying file './COPYING.LIB'
115:info:configure glibtoolize: creating file './Makefile.am'
116:info:configure glibtoolize: copying file './README'
117:info:configure glibtoolize: creating file './configure.ac'
118:info:configure glibtoolize: copying file './aclocal.m4'
119:info:configure glibtoolize: creating file './Makefile.in'
120:info:configure glibtoolize: copying file './config-h.in'
121:info:configure glibtoolize: creating file './configure'
122:info:configure glibtoolize: copying file './libltdl/lt__alloc.h'
123:info:configure glibtoolize: copying file './libltdl/lt__argz_.h'
124:info:configure glibtoolize: copying file './libltdl/lt__dirent.h'
125:info:configure glibtoolize: copying file './libltdl/lt__glibc.h'
126:info:configure glibtoolize: copying file './libltdl/lt__private.h'
127:info:configure glibtoolize: copying file './libltdl/lt__strl.h'
128:info:configure glibtoolize: copying file './libltdl/lt_dlloader.h'
129:info:configure glibtoolize: copying file './libltdl/lt_error.h'
130:info:configure glibtoolize: copying file './libltdl/lt_system.h'
131:info:configure glibtoolize: copying file './libltdl/slist.h'
132:info:configure glibtoolize: copying file './loaders/dld_link.c'
133:info:configure glibtoolize: copying file './loaders/dlopen.c'
134:info:configure glibtoolize: copying file './loaders/dyld.c'
135:info:configure glibtoolize: copying file './loaders/load_add_on.c'
136:info:configure glibtoolize: copying file './loaders/loadlibrary.c'
137:info:configure glibtoolize: copying file './loaders/preopen.c'
138:info:configure glibtoolize: copying file './loaders/shl_load.c'
139:info:configure glibtoolize: copying file './lt__alloc.c'
140:info:configure glibtoolize: copying file './lt__argz.c'
141:info:configure glibtoolize: copying file './lt__dirent.c'
142:info:configure glibtoolize: copying file './lt__strl.c'
143:info:configure glibtoolize: copying file './lt_dlloader.c'
144:info:configure glibtoolize: copying file './lt_error.c'
145:info:configure glibtoolize: copying file './ltdl.c'
146:info:configure glibtoolize: copying file './ltdl.h'
147:info:configure glibtoolize: copying file './slist.c'
148:info:configure glibtoolize: Remember to add 'LTDL_INIT' to configure.ac.
149:info:configure glibtoolize: Consider adding 'AC_CONFIG_MACRO_DIRS([../m4])' to configure.ac,
150:info:configure glibtoolize: and rerunning glibtoolize and aclocal.
151:info:configure autoreconf: configure.ac: not using Intltool
152:info:configure autoreconf: configure.ac: not using Gtkdoc
153:info:configure autoreconf: running: /opt/local/bin/aclocal --force -I ../m4
154:info:configure autoreconf: running: /opt/local/bin/autoconf --force
155:info:configure autoreconf: running: /opt/local/bin/autoheader --force
156:info:configure autoreconf: running: /opt/local/bin/automake --add-missing --copy --force-missing
157:info:configure autoreconf: Leaving directory 'libltdl'
158:info:configure autoreconf: running: /opt/local/bin/glibtoolize --copy --force --ltdl --ltdl
159:info:configure glibtoolize: putting auxiliary files in AC_CONFIG_AUX_DIR, 'config'.
160:info:configure glibtoolize: copying file 'config/compile'
161:info:configure glibtoolize: copying file 'config/config.guess'
162:info:configure glibtoolize: copying file 'config/config.sub'
163:info:configure glibtoolize: copying file 'config/depcomp'
164:info:configure glibtoolize: copying file 'config/install-sh'
165:info:configure glibtoolize: copying file 'config/missing'
166:info:configure glibtoolize: copying file 'config/ltmain.sh'
167:info:configure glibtoolize: putting macros in AC_CONFIG_MACRO_DIRS, 'm4'.
168:info:configure glibtoolize: copying file 'm4/libtool.m4'
169:info:configure glibtoolize: copying file 'm4/ltargz.m4'
170:info:configure glibtoolize: copying file 'm4/ltdl.m4'
171:info:configure glibtoolize: copying file 'm4/ltoptions.m4'
172:info:configure glibtoolize: copying file 'm4/ltsugar.m4'
173:info:configure glibtoolize: copying file 'm4/ltversion.m4'
174:info:configure glibtoolize: copying file 'm4/lt~obsolete.m4'
175:info:configure glibtoolize: putting libltdl files in LT_CONFIG_LTDL_DIR, 'libltdl'.
176:info:configure glibtoolize: copying file 'libltdl/COPYING.LIB'
177:info:configure glibtoolize: creating file 'libltdl/Makefile.am'
178:info:configure glibtoolize: copying file 'libltdl/README'
179:info:configure glibtoolize: creating file 'libltdl/configure.ac'
180:info:configure glibtoolize: copying file 'libltdl/aclocal.m4'
181:info:configure glibtoolize: creating file 'libltdl/Makefile.in'
182:info:configure glibtoolize: copying file 'libltdl/config-h.in'
183:info:configure glibtoolize: creating file 'libltdl/configure'
184:info:configure glibtoolize: copying file 'libltdl/libltdl/lt__alloc.h'
185:info:configure glibtoolize: copying file 'libltdl/libltdl/lt__argz_.h'
186:info:configure glibtoolize: copying file 'libltdl/libltdl/lt__dirent.h'
187:info:configure glibtoolize: copying file 'libltdl/libltdl/lt__glibc.h'
188:info:configure glibtoolize: copying file 'libltdl/libltdl/lt__private.h'
189:info:configure glibtoolize: copying file 'libltdl/libltdl/lt__strl.h'
190:info:configure glibtoolize: copying file 'libltdl/libltdl/lt_dlloader.h'
191:info:configure glibtoolize: copying file 'libltdl/libltdl/lt_error.h'
192:info:configure glibtoolize: copying file 'libltdl/libltdl/lt_system.h'
193:info:configure glibtoolize: copying file 'libltdl/libltdl/slist.h'
194:info:configure glibtoolize: copying file 'libltdl/loaders/dld_link.c'
195:info:configure glibtoolize: copying file 'libltdl/loaders/dlopen.c'
196:info:configure glibtoolize: copying file 'libltdl/loaders/dyld.c'
197:info:configure glibtoolize: copying file 'libltdl/loaders/load_add_on.c'
198:info:configure glibtoolize: copying file 'libltdl/loaders/loadlibrary.c'
199:info:configure glibtoolize: copying file 'libltdl/loaders/preopen.c'
200:info:configure glibtoolize: copying file 'libltdl/loaders/shl_load.c'
201:info:configure glibtoolize: copying file 'libltdl/lt__alloc.c'
202:info:configure glibtoolize: copying file 'libltdl/lt__argz.c'
203:info:configure glibtoolize: copying file 'libltdl/lt__dirent.c'
204:info:configure glibtoolize: copying file 'libltdl/lt__strl.c'
205:info:configure glibtoolize: copying file 'libltdl/lt_dlloader.c'
206:info:configure glibtoolize: copying file 'libltdl/lt_error.c'
207:info:configure glibtoolize: copying file 'libltdl/ltdl.c'
208:info:configure glibtoolize: copying file 'libltdl/ltdl.h'
209:info:configure glibtoolize: copying file 'libltdl/slist.c'
210:info:configure autoreconf: configure.ac: not using Intltool
211:info:configure autoreconf: configure.ac: not using Gtkdoc
212:info:configure autoreconf: running: /opt/local/bin/aclocal --force -I m4
213:info:configure autoreconf: running: /opt/local/bin/autoconf --force
214:info:configure configure.ac:227: warning: AC_PROG_LEX without either yywrap or noyywrap is obsolete
215:info:configure ./lib/autoconf/programs.m4:743: _AC_PROG_LEX is expanded from...
216:info:configure ./lib/autoconf/programs.m4:736: AC_PROG_LEX is expanded from...
217:info:configure aclocal.m4:944: AM_PROG_LEX is expanded from...
218:info:configure configure.ac:227: the top level
219:info:configure configure.ac:278: warning: The macro 'AC_PROG_CC_C99' is obsolete.
220:info:configure configure.ac:278: You should run autoupdate.
221:info:configure ./lib/autoconf/c.m4:1662: AC_PROG_CC_C99 is expanded from...
222:info:configure configure.ac:278: the top level
223:info:configure autoreconf: running: /opt/local/bin/autoheader --force
224:info:configure autoreconf: running: /opt/local/bin/automake --add-missing --copy --force-missing
225:info:configure autoreconf: Leaving directory '.'
226:debug:configure Environment:
227:debug:configure CC='/usr/bin/clang'
228:debug:configure CC_PRINT_OPTIONS='YES'
229:debug:configure CC_PRINT_OPTIONS_FILE='/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/.CC_PRINT_OPTIONS'
230:debug:configure CFLAGS='-pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64'
231:debug:configure CPATH='/opt/local/include'
232:debug:configure CPPFLAGS='-I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk'
233:debug:configure CXX='/usr/bin/clang++'
234:debug:configure CXXFLAGS='-pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64'
235:debug:configure DEVELOPER_DIR='/Library/Developer/CommandLineTools'
236:debug:configure F90FLAGS='-pipe -Os -m64'
237:debug:configure FCFLAGS='-pipe -Os -m64'
238:debug:configure FFLAGS='-pipe -Os -m64'
239:debug:configure INSTALL='/usr/bin/install -c'
240:debug:configure LDFLAGS='-L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64'
241:debug:configure LIBRARY_PATH='/opt/local/lib'
242:debug:configure MACOSX_DEPLOYMENT_TARGET='14.0'
243:debug:configure MACPORTS_LEGACY_SUPPORT_DISABLED='1'
244:debug:configure OBJC='/usr/bin/clang'
245:debug:configure OBJCFLAGS='-pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64'
246:debug:configure OBJCXX='/usr/bin/clang++'
247:debug:configure OBJCXXFLAGS='-pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64'
248:debug:configure SDKROOT='/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk'
249:debug:configure SOURCE_DATE_EPOCH='1725307779'
250:info:configure Executing:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0" && ./configure --prefix=/opt/local --disable-silent-rules --with-codegens --without-devil --without-smyrna --with-digcola --with-ipsepcola --without-rsvg --with-pangocairo --with-lasi --with-webp --without-glitz --with-freetype2 --with-fontconfig --without-gdk-pixbuf --without-ghostscript --without-gtk --without-gtkgl --without-gtkglext --with-gts --without-glade --without-gnomeui --without-ming --without-poppler --without-qt --with-quartz --disable-swig --disable-sharp --disable-guile --disable-io --disable-java --disable-lua --disable-man-pdfs --disable-ocaml --disable-perl --disable-php --disable-python --disable-python23 --disable-python24 --disable-python25 --disable-r --disable-ruby --disable-tcl ac_cv_prog_AWK=/usr/bin/awk
251:debug:configure system:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0" && ./configure --prefix=/opt/local --disable-silent-rules --with-codegens --without-devil --without-smyrna --with-digcola --with-ipsepcola --without-rsvg --with-pangocairo --with-lasi --with-webp --without-glitz --with-freetype2 --with-fontconfig --without-gdk-pixbuf --without-ghostscript --without-gtk --without-gtkgl --without-gtkglext --with-gts --without-glade --without-gnomeui --without-ming --without-poppler --without-qt --with-quartz --disable-swig --disable-sharp --disable-guile --disable-io --disable-java --disable-lua --disable-man-pdfs --disable-ocaml --disable-perl --disable-php --disable-python --disable-python23 --disable-python24 --disable-python25 --disable-r --disable-ruby --disable-tcl ac_cv_prog_AWK=/usr/bin/awk
252:info:configure checking build system type... aarch64-apple-darwin23.6.0
253:info:configure checking host system type... aarch64-apple-darwin23.6.0
254:info:configure checking for a BSD-compatible install... /usr/bin/install -c
255:info:configure checking whether sleep supports fractional seconds... yes
256:info:configure checking filesystem timestamp resolution... 2
257:info:configure checking whether build environment is sane... yes
258:info:configure checking for a race-free mkdir -p... /opt/local/bin/gmkdir -p
259:info:configure checking for gawk... (cached) /usr/bin/awk
260:info:configure checking whether make sets $(MAKE)... yes
261:info:configure checking whether make supports nested variables... yes
262:info:configure checking xargs -n works... yes
263:info:configure checking whether UID '502' is supported by ustar format... yes
264:info:configure checking whether GID '501' is supported by ustar format... yes
265:info:configure checking how to create a ustar tar archive... gnutar
266:info:configure checking whether make supports the include directive... yes (GNU style)
267:info:configure checking for gcc... /usr/bin/clang
268:info:configure checking whether the C compiler works... yes
269:info:configure checking for C compiler default output file name... a.out
270:info:configure checking for suffix of executables...
271:info:configure checking whether we are cross compiling... no
272:info:configure checking for suffix of object files... o
273:info:configure checking whether the compiler supports GNU C... yes
274:info:configure checking whether /usr/bin/clang accepts -g... yes
275:info:configure checking for /usr/bin/clang option to enable C11 features... none needed
276:info:configure checking whether /usr/bin/clang understands -c and -o together... yes
277:info:configure checking dependency style of /usr/bin/clang... gcc3
278:info:configure checking for flex... flex
279:info:configure checking for lex output file root... lex.yy
280:info:configure checking for lex library... none needed
281:info:configure checking for library containing yywrap... -ll
282:info:configure checking whether yytext is a pointer... yes
283:info:configure checking for bison... bison -y
284:info:configure checking for grep that handles long lines and -e... /usr/bin/grep
285:info:configure checking for egrep... /usr/bin/grep -E
286:info:configure checking whether ln -s works... yes
287:info:configure checking how to print strings... printf
288:info:configure checking for a sed that does not truncate output... /opt/local/bin/gsed
289:info:configure checking for fgrep... /usr/bin/grep -F
290:info:configure checking for ld used by /usr/bin/clang... /Library/Developer/CommandLineTools/usr/bin/ld
291:info:configure checking if the linker (/Library/Developer/CommandLineTools/usr/bin/ld) is GNU ld... no
292:info:configure checking for BSD- or MS-compatible name lister (nm)... /usr/bin/nm -B
293:info:configure checking the name lister (/usr/bin/nm -B) interface... BSD nm
294:info:configure checking the maximum length of command line arguments... 786432
295:info:configure checking how to convert aarch64-apple-darwin23.6.0 file names to aarch64-apple-darwin23.6.0 format... func_convert_file_noop
296:info:configure checking how to convert aarch64-apple-darwin23.6.0 file names to toolchain format... func_convert_file_noop
297:info:configure checking for /Library/Developer/CommandLineTools/usr/bin/ld option to reload object files... -r
298:info:configure checking for file... file
299:info:configure checking for objdump... objdump
300:info:configure checking how to recognize dependent libraries... pass_all
301:info:configure checking for dlltool... no
302:info:configure checking how to associate runtime and link libraries... printf %s\n
303:info:configure checking for ar... ar
304:info:configure checking for archiver @FILE support... no
305:info:configure checking for strip... strip
306:info:configure checking for ranlib... ranlib
307:info:configure checking command to parse /usr/bin/nm -B output from /usr/bin/clang object... ok
308:info:configure checking for sysroot... no
309:info:configure checking for a working dd... /bin/dd
310:info:configure checking how to truncate binary pipes... /bin/dd bs=4096 count=1
311:info:configure checking for mt... no
312:info:configure checking if : is a manifest tool... no
313:info:configure checking for dsymutil... dsymutil
314:info:configure checking for nmedit... nmedit
315:info:configure checking for lipo... lipo
316:info:configure checking for otool... otool
317:info:configure checking for otool64... no
318:info:configure checking for -single_module linker flag... ld: warning: -single_module is obsolete
319:info:configure no
320:info:configure checking for -exported_symbols_list linker flag... yes
321:info:configure checking for -force_load linker flag... yes
322:info:configure checking for stdio.h... yes
323:info:configure checking for stdlib.h... yes
324:info:configure checking for string.h... yes
325:info:configure checking for inttypes.h... yes
326:info:configure checking for stdint.h... yes
327:info:configure checking for strings.h... yes
328:info:configure checking for sys/stat.h... yes
329:info:configure checking for sys/types.h... yes
330:info:configure checking for unistd.h... yes
331:info:configure checking for dlfcn.h... yes
332:info:configure checking for objdir... .libs
333:info:configure checking if /usr/bin/clang supports -fno-rtti -fno-exceptions... yes
334:info:configure checking for /usr/bin/clang option to produce PIC... -fno-common -DPIC
335:info:configure checking if /usr/bin/clang PIC flag -fno-common -DPIC works... yes
336:info:configure checking if /usr/bin/clang static flag -static works... no
337:info:configure checking if /usr/bin/clang supports -c -o file.o... yes
338:info:configure checking if /usr/bin/clang supports -c -o file.o... (cached) yes
339:info:configure checking whether the /usr/bin/clang linker (/Library/Developer/CommandLineTools/usr/bin/ld) supports shared libraries... yes
340:info:configure checking dynamic linker characteristics... darwin23.6.0 dyld
341:info:configure checking how to hardcode library paths into programs... immediate
342:info:configure checking whether stripping libraries is possible... yes
343:info:configure checking if libtool supports shared libraries... yes
344:info:configure checking whether to build shared libraries... yes
345:info:configure checking whether to build static libraries... no
346:info:configure checking for pkg-config... /opt/local/bin/pkg-config
347:info:configure checking pkg-config is at least version 0.9.0... yes
348:info:configure checking for tclsh8.6... no
349:info:configure checking for tclsh8.5... /usr/bin/tclsh8.5
350:info:configure checking whether the compiler supports GNU C++... yes
351:info:configure checking whether /usr/bin/clang++ accepts -g... yes
352:info:configure checking for /usr/bin/clang++ option to enable C++11 features... unsupported
353:info:configure checking for /usr/bin/clang++ option to enable C++98 features... unsupported
354:info:configure checking dependency style of /usr/bin/clang++... gcc3
355:info:configure checking how to run the C++ preprocessor... /usr/bin/clang++ -E
356:info:configure checking for ld used by /usr/bin/clang++... /Library/Developer/CommandLineTools/usr/bin/ld
357:info:configure checking if the linker (/Library/Developer/CommandLineTools/usr/bin/ld) is GNU ld... no
358:info:configure checking whether the /usr/bin/clang++ linker (/Library/Developer/CommandLineTools/usr/bin/ld) supports shared libraries... yes
359:info:configure checking for /usr/bin/clang++ option to produce PIC... -fno-common -DPIC
360:info:configure checking if /usr/bin/clang++ PIC flag -fno-common -DPIC works... yes
361:info:configure checking if /usr/bin/clang++ static flag -static works... no
362:info:configure checking if /usr/bin/clang++ supports -c -o file.o... yes
363:info:configure checking if /usr/bin/clang++ supports -c -o file.o... (cached) yes
364:info:configure checking whether the /usr/bin/clang++ linker (/Library/Developer/CommandLineTools/usr/bin/ld) supports shared libraries... yes
365:info:configure checking dynamic linker characteristics... darwin23.6.0 dyld
366:info:configure checking how to hardcode library paths into programs... immediate
367:info:configure checking whether /usr/bin/clang++ supports C++11 features with -std=c++11... yes
368:info:configure checking whether the compiler supports GNU Objective C... yes
369:info:configure checking whether /usr/bin/clang accepts -g... yes
370:info:configure checking dependency style of /usr/bin/clang... gcc3
371:info:configure checking for inline... inline
372:info:configure checking whether C compiler accepts -Wtrampolines... no
373:info:configure checking whether C compiler accepts -Wlogical-op... no
374:info:configure checking for pid_t... yes
375:info:configure checking for ssize_t... yes
376:info:configure checking for fcntl.h... yes
377:info:configure checking for search.h... yes
378:info:configure checking for sys/time.h... yes
379:info:configure checking for sys/types.h... (cached) yes
380:info:configure checking for sys/select.h... yes
381:info:configure checking for sys/mman.h... yes
382:info:configure checking for sys/ioctl.h... yes
383:info:configure checking for sys/inotify.h... no
384:info:configure checking for main in -lm... yes
385:info:configure checking for sincos... no
386:info:configure checking for lrand48... yes
387:info:configure checking for drand48... yes
388:info:configure checking for srand48... yes
389:info:configure checking for setmode... yes
390:info:configure checking for setenv... yes
391:info:configure checking for memrchr... no
392:info:configure checking for select... yes
393:info:configure checking for dl_iterate_phdr... no
394:info:configure checking for strcasestr... yes
395:info:configure checking for /usr/bin/clang options needed to detect all undeclared functions... none needed
396:info:configure checking what extension is used for runtime loadable modules... .so
397:info:configure checking what variable specifies run-time module search path... DYLD_LIBRARY_PATH
398:info:configure checking for the default library search path... /usr/local/lib /lib /usr/lib
399:info:configure checking for library containing dlopen... none required
400:info:configure checking for dlerror... yes
401:info:configure checking for shl_load... no
402:info:configure checking for shl_load in -ldld... no
403:info:configure checking for dld_link in -ldld... no
404:info:configure checking for _ prefix in compiled symbols... yes
405:info:configure checking whether we have to add an underscore for dlsym... no
406:info:configure checking whether deplibs are loaded by dlopen... yes
407:info:configure checking for argz.h... no
408:info:configure checking for error_t... no
409:info:configure checking for argz_add... no
410:info:configure checking for argz_append... no
411:info:configure checking for argz_count... no
412:info:configure checking for argz_create_sep... no
413:info:configure checking for argz_insert... no
414:info:configure checking for argz_next... no
415:info:configure checking for argz_stringify... no
416:info:configure checking whether libtool supports -dlopen/-dlpreopen... yes
417:info:configure checking for ltdl.h... yes
418:info:configure checking whether lt_dlinterface_register is declared... yes
419:info:configure checking for lt_dladvise_preload in -lltdl... yes
420:info:configure checking where to find libltdl headers...
421:info:configure checking where to find libltdl library... -lltdl
422:info:configure checking for unistd.h... (cached) yes
423:info:configure checking for dl.h... no
424:info:configure checking for sys/dl.h... no
425:info:configure checking for dld.h... no
426:info:configure checking for mach-o/dyld.h... yes
427:info:configure checking for dirent.h... yes
428:info:configure checking for closedir... yes
429:info:configure checking for opendir... yes
430:info:configure checking for readdir... yes
431:info:configure checking for strlcat... yes
432:info:configure checking for strlcpy... yes
433:info:configure checking for lt_dladvise_init in -lltdl... yes
434:info:configure checking how to run the C preprocessor... /usr/bin/clang -E
435:info:configure checking for X... libraries , headers
436:info:configure checking for gethostbyname... yes
437:info:configure checking for connect... yes
438:info:configure checking for remove... yes
439:info:configure checking for shmat... yes
440:info:configure checking for IceConnectionNumber in -lICE... yes
441:info:configure checking for xrender... yes
442:info:configure checking for expat... yes
443:info:configure checking for zlib.h... yes
444:info:configure checking for main in -lz... yes
445:info:configure checking for libwebp... yes
446:info:configure checking for pangocairo >= 1.22.0... yes
447:info:configure checking for pangoft2... yes
448:info:configure checking for pango_fc_font_lock_face... yes
449:info:configure checking for pango_fc_font_unlock_face... yes
450:info:configure checking for pango_font_map_create_context... yes
451:info:configure checking for lasi... yes
452:info:configure checking for freetype2... yes
453:info:configure checking for gdk-2.0... no
454:info:configure checking for gts... yes
455:info:configure checking for ann... no
456:info:configure checking for gdlib >= 2.0.33... yes
457:info:configure checking for gd.h... yes
458:info:configure checking for main in -lgd... yes
459:info:configure checking for GL/glut.h... no
460:info:configure configure: WARNING: Optional glut library not available - no GL/glut.h
461:info:configure checking for main in -lcriterion... no
462:info:configure configure: WARNING: Criterion unit testing framework not installed
463:info:configure checking that generated files are newer than configure... done
464:info:configure configure: creating ./config.status
465:info:configure config.status: creating Makefile
466:info:configure config.status: creating debian/changelog
467:info:configure config.status: creating debian/libgv-php5.install
468:info:configure config.status: creating doc/Makefile
469:info:configure config.status: creating doc/info/Makefile
470:info:configure config.status: creating doc/schema/Makefile
471:info:configure config.status: creating contrib/Makefile
472:info:configure config.status: creating contrib/prune/Makefile
473:info:configure config.status: creating contrib/diffimg/Makefile
474:info:configure config.status: creating graphs/Makefile
475:info:configure config.status: creating graphs/directed/Makefile
476:info:configure config.status: creating graphs/undirected/Makefile
477:info:configure config.status: creating lib/Makefile
478:info:configure config.status: creating lib/cdt/Makefile
479:info:configure config.status: creating lib/cdt/libcdt.pc
480:info:configure config.status: creating lib/cgraph/Makefile
481:info:configure config.status: creating lib/cgraph/libcgraph.pc
482:info:configure config.status: creating lib/rbtree/Makefile
483:info:configure config.status: creating lib/pathplan/Makefile
484:info:configure config.status: creating lib/pathplan/libpathplan.pc
485:info:configure config.status: creating lib/ast/Makefile
486:info:configure config.status: creating lib/sfio/Makefile
487:info:configure config.status: creating lib/sfio/Sfio_f/Makefile
488:info:configure config.status: creating lib/vmalloc/Makefile
489:info:configure config.status: creating lib/dotgen/Makefile
490:info:configure config.status: creating lib/neatogen/Makefile
491:info:configure config.status: creating lib/fdpgen/Makefile
492:info:configure config.status: creating lib/sparse/Makefile
493:info:configure config.status: creating lib/mingle/Makefile
494:info:configure config.status: creating lib/label/Makefile
495:info:configure config.status: creating lib/sfdpgen/Makefile
496:info:configure config.status: creating lib/sfdpgen/libsfdp.pc
497:info:configure config.status: creating lib/osage/Makefile
498:info:configure config.status: creating lib/edgepaint/Makefile
499:info:configure config.status: creating lib/edgepaint/liblab_gamut.pc
500:info:configure config.status: creating lib/gvpr/Makefile
501:info:configure config.status: creating lib/gvpr/libgvpr.pc
502:info:configure config.status: creating lib/circogen/Makefile
503:info:configure config.status: creating lib/twopigen/Makefile
504:info:configure config.status: creating lib/patchwork/Makefile
505:info:configure config.status: creating lib/pack/Makefile
506:info:configure config.status: creating lib/ortho/Makefile
507:info:configure config.status: creating lib/expr/Makefile
508:info:configure config.status: creating lib/expr/libexpr.pc
509:info:configure config.status: creating lib/common/Makefile
510:info:configure config.status: creating lib/ingraphs/Makefile
511:info:configure config.status: creating lib/vpsc/Makefile
512:info:configure config.status: creating lib/gvc/Makefile
513:info:configure config.status: creating lib/gvc/libgvc.pc
514:info:configure config.status: creating lib/xdot/Makefile
515:info:configure config.status: creating lib/xdot/libxdot.pc
516:info:configure config.status: creating lib/topfish/Makefile
517:info:configure config.status: creating lib/glcomp/Makefile
518:info:configure config.status: creating macosx/Info.plist
519:info:configure config.status: creating macosx/build/graphviz.pmdoc/01local.xml
520:info:configure config.status: creating macosx/build/graphviz.pmdoc/02graphviz.xml
521:info:configure config.status: creating windows/build/graphviz.wxs
522:info:configure config.status: creating windows/Properties/AssemblyInfo.cs
523:info:configure config.status: creating plugin/Makefile
524:info:configure config.status: creating plugin/core/Makefile
525:info:configure config.status: creating plugin/devil/Makefile
526:info:configure config.status: creating plugin/gd/Makefile
527:info:configure config.status: creating plugin/gdk/Makefile
528:info:configure config.status: creating plugin/gdiplus/Makefile
529:info:configure config.status: creating plugin/gs/Makefile
530:info:configure config.status: creating plugin/gtk/Makefile
531:info:configure config.status: creating plugin/kitty/Makefile
532:info:configure config.status: creating plugin/lasi/Makefile
533:info:configure config.status: creating plugin/pango/Makefile
534:info:configure config.status: creating plugin/poppler/Makefile
535:info:configure config.status: creating plugin/quartz/Makefile
536:info:configure config.status: creating plugin/rsvg/Makefile
537:info:configure config.status: creating plugin/webp/Makefile
538:info:configure config.status: creating plugin/xlib/Makefile
539:info:configure config.status: creating plugin/dot_layout/Makefile
540:info:configure config.status: creating plugin/neato_layout/Makefile
541:info:configure config.status: creating plugin/vt/Makefile
542:info:configure config.status: creating cmd/Makefile
543:info:configure config.status: creating cmd/dot/Makefile
544:info:configure config.status: creating cmd/tools/Makefile
545:info:configure config.status: creating cmd/gvpr/Makefile
546:info:configure config.status: creating cmd/gvpr/lib/Makefile
547:info:configure config.status: creating cmd/smyrna/Makefile
548:info:configure config.status: creating cmd/gvmap/Makefile
549:info:configure config.status: creating cmd/mingle/Makefile
550:info:configure config.status: creating cmd/edgepaint/Makefile
551:info:configure config.status: creating cmd/gvedit/Makefile
552:info:configure config.status: creating cmd/gvedit/gvedit.pro
553:info:configure config.status: creating cmd/gvedit/ui/Makefile
554:info:configure config.status: creating cmd/gvedit/images/Makefile
555:info:configure config.status: creating tclpkg/Makefile
556:info:configure config.status: creating tclpkg/tclstubs/Makefile
557:info:configure config.status: creating tclpkg/tclhandle/Makefile
558:info:configure config.status: creating tclpkg/gdtclft/Makefile
559:info:configure config.status: creating tclpkg/gdtclft/demo/Makefile
560:info:configure config.status: creating tclpkg/tcldot/Makefile
561:info:configure config.status: creating tclpkg/tcldot/demo/Makefile
562:info:configure config.status: creating tclpkg/tclpathplan/Makefile
563:info:configure config.status: creating tclpkg/tclpathplan/demo/Makefile
564:info:configure config.status: creating tclpkg/tclpathplan/demo/pathplan_data/Makefile
565:info:configure config.status: creating tclpkg/gv/Makefile
566:info:configure config.status: creating tclpkg/gv/demo/Makefile
567:info:configure config.status: creating tclpkg/gv/META.gv
568:info:configure config.status: creating tests/graphs/Makefile
569:info:configure config.status: creating tests/linux.x86/Makefile
570:info:configure config.status: creating tests/Makefile
571:info:configure config.status: creating tests/unit_tests/Makefile
572:info:configure config.status: creating tests/unit_tests/lib/Makefile
573:info:configure config.status: creating tests/unit_tests/lib/common/Makefile
574:info:configure config.status: creating tests/regression_tests/Makefile
575:info:configure config.status: creating tests/regression_tests/shapes/Makefile
576:info:configure config.status: creating tests/regression_tests/shapes/reference/Makefile
577:info:configure config.status: creating tests/regression_tests/vuln/Makefile
578:info:configure config.status: creating tests/regression_tests/vuln/input/Makefile
579:info:configure config.status: creating tests/regression_tests/vuln/reference/Makefile
580:info:configure config.status: creating share/Makefile
581:info:configure config.status: creating share/examples/Makefile
582:info:configure config.status: creating share/gui/Makefile
583:info:configure config.status: creating redhat/graphviz.spec.fedora
584:info:configure config.status: creating redhat/graphviz.spec.rhel
585:info:configure config.status: creating Doxyfile
586:info:configure config.status: creating config.h
587:info:configure config.status: executing depfiles commands
588:info:configure config.status: executing libtool commands
589:info:configure === configuring in libltdl (/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/libltdl)
590:info:configure configure: running /bin/sh ./configure --disable-option-checking '--prefix=/opt/local'  '--disable-silent-rules' '--with-codegens' '--without-devil' '--without-smyrna' '--with-digcola' '--with-ipsepcola' '--without-rsvg' '--with-pangocairo' '--with-lasi' '--with-webp' '--without-glitz' '--with-freetype2' '--with-fontconfig' '--without-gdk-pixbuf' '--without-ghostscript' '--without-gtk' '--without-gtkgl' '--without-gtkglext' '--with-gts' '--without-glade' '--without-gnomeui' '--without-ming' '--without-poppler' '--without-qt' '--with-quartz' '--disable-swig' '--disable-sharp' '--disable-guile' '--disable-io' '--disable-java' '--disable-lua' '--disable-man-pdfs' '--disable-ocaml' '--disable-perl' '--disable-php' '--disable-python' '--disable-python23' '--disable-python24' '--disable-python25' '--disable-r' '--disable-ruby' '--disable-tcl' 'ac_cv_prog_AWK=/usr/bin/awk' 'CC=/usr/bin/clang' 'CFLAGS=-pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64' 'LDFLAGS=-L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64' 'CPPFLAGS=-I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk' 'CXX=/usr/bin/clang++' 'CXXFLAGS=-pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64' 'OBJC=/usr/bin/clang' 'OBJCFLAGS=-pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64' --cache-file=/dev/null --srcdir=.
591:info:configure checking for a BSD-compatible install... /usr/bin/install -c
592:info:configure checking whether build environment is sane... yes
593:info:configure checking for a thread-safe mkdir -p... /opt/local/bin/gmkdir -p
594:info:configure checking for gawk... (cached) /usr/bin/awk
595:info:configure checking whether make sets $(MAKE)... yes
596:info:configure checking whether make supports nested variables... yes
597:info:configure checking whether make supports nested variables... (cached) yes
598:info:configure checking build system type... aarch64-apple-darwin23.6.0
599:info:configure checking host system type... aarch64-apple-darwin23.6.0
600:info:configure checking how to print strings... printf
601:info:configure checking whether make supports the include directive... yes (GNU style)
602:info:configure checking for gcc... /usr/bin/clang
603:info:configure checking whether the C compiler works... yes
604:info:configure checking for C compiler default output file name... a.out
605:info:configure checking for suffix of executables...
606:info:configure checking whether we are cross compiling... no
607:info:configure checking for suffix of object files... o
608:info:configure checking whether we are using the GNU C compiler... yes
609:info:configure checking whether /usr/bin/clang accepts -g... yes
610:info:configure checking for /usr/bin/clang option to accept ISO C89... none needed
611:info:configure checking whether /usr/bin/clang understands -c and -o together... yes
612:info:configure checking dependency style of /usr/bin/clang... gcc3
613:info:configure checking for a sed that does not truncate output... /opt/local/bin/gsed
614:info:configure checking for grep that handles long lines and -e... /usr/bin/grep
615:info:configure checking for egrep... /usr/bin/grep -E
616:info:configure checking for fgrep... /usr/bin/grep -F
617:info:configure checking for ld used by /usr/bin/clang... /Library/Developer/CommandLineTools/usr/bin/ld
618:info:configure checking if the linker (/Library/Developer/CommandLineTools/usr/bin/ld) is GNU ld... no
619:info:configure checking for BSD- or MS-compatible name lister (nm)... /usr/bin/nm -B
620:info:configure checking the name lister (/usr/bin/nm -B) interface... BSD nm
621:info:configure checking whether ln -s works... yes
622:info:configure checking the maximum length of command line arguments... 786432
623:info:configure checking how to convert aarch64-apple-darwin23.6.0 file names to aarch64-apple-darwin23.6.0 format... func_convert_file_noop
624:info:configure checking how to convert aarch64-apple-darwin23.6.0 file names to toolchain format... func_convert_file_noop
625:info:configure checking for /Library/Developer/CommandLineTools/usr/bin/ld option to reload object files... -r
626:info:configure checking for file... file
627:info:configure checking for objdump... objdump
628:info:configure checking how to recognize dependent libraries... pass_all
629:info:configure checking for dlltool... no
630:info:configure checking how to associate runtime and link libraries... printf %s\n
631:info:configure checking for ar... ar
632:info:configure checking for archiver @FILE support... no
633:info:configure checking for strip... strip
634:info:configure checking for ranlib... ranlib
635:info:configure checking command to parse /usr/bin/nm -B output from /usr/bin/clang object... ok
636:info:configure checking for sysroot... no
637:info:configure checking for a working dd... /bin/dd
638:info:configure checking how to truncate binary pipes... /bin/dd bs=4096 count=1
639:info:configure checking for mt... no
640:info:configure checking if : is a manifest tool... no
641:info:configure checking for dsymutil... dsymutil
642:info:configure checking for nmedit... nmedit
643:info:configure checking for lipo... lipo
644:info:configure checking for otool... otool
645:info:configure checking for otool64... no
646:info:configure checking for -single_module linker flag... ld: warning: -single_module is obsolete
647:info:configure no
648:info:configure checking for -exported_symbols_list linker flag... yes
649:info:configure checking for -force_load linker flag... yes
650:info:configure checking how to run the C preprocessor... /usr/bin/clang -E
651:info:configure checking for ANSI C header files... yes
652:info:configure checking for sys/types.h... yes
653:info:configure checking for sys/stat.h... yes
654:info:configure checking for stdlib.h... yes
655:info:configure checking for string.h... yes
656:info:configure checking for memory.h... yes
657:info:configure checking for strings.h... yes
658:info:configure checking for inttypes.h... yes
659:info:configure checking for stdint.h... yes
660:info:configure checking for unistd.h... yes
661:info:configure checking for dlfcn.h... yes
662:info:configure checking for objdir... .libs
663:info:configure checking if /usr/bin/clang supports -fno-rtti -fno-exceptions... yes
664:info:configure checking for /usr/bin/clang option to produce PIC... -fno-common -DPIC
665:info:configure checking if /usr/bin/clang PIC flag -fno-common -DPIC works... yes
666:info:configure checking if /usr/bin/clang static flag -static works... no
667:info:configure checking if /usr/bin/clang supports -c -o file.o... yes
668:info:configure checking if /usr/bin/clang supports -c -o file.o... (cached) yes
669:info:configure checking whether the /usr/bin/clang linker (/Library/Developer/CommandLineTools/usr/bin/ld) supports shared libraries... yes
670:info:configure checking dynamic linker characteristics... darwin23.6.0 dyld
671:info:configure checking how to hardcode library paths into programs... immediate
672:info:configure checking for dlopen in -ldl... yes
673:info:configure checking whether a program can dlopen itself... yes
674:info:configure checking whether a statically linked program can dlopen itself... yes
675:info:configure checking whether stripping libraries is possible... yes
676:info:configure checking if libtool supports shared libraries... yes
677:info:configure checking whether to build shared libraries... yes
678:info:configure checking whether to build static libraries... yes
679:info:configure checking what extension is used for runtime loadable modules... .so
680:info:configure checking what variable specifies run-time module search path... DYLD_LIBRARY_PATH
681:info:configure checking for the default library search path... /usr/local/lib /lib /usr/lib
682:info:configure checking for library containing dlopen... none required
683:info:configure checking for dlerror... yes
684:info:configure checking for shl_load... no
685:info:configure checking for shl_load in -ldld... no
686:info:configure checking for dld_link in -ldld... no
687:info:configure checking for _ prefix in compiled symbols... yes
688:info:configure checking whether we have to add an underscore for dlsym... no
689:info:configure checking whether deplibs are loaded by dlopen... yes
690:info:configure checking for argz.h... no
691:info:configure checking for error_t... no
692:info:configure checking for argz_add... no
693:info:configure checking for argz_append... no
694:info:configure checking for argz_count... no
695:info:configure checking for argz_create_sep... no
696:info:configure checking for argz_insert... no
697:info:configure checking for argz_next... no
698:info:configure checking for argz_stringify... no
699:info:configure checking whether libtool supports -dlopen/-dlpreopen... yes
700:info:configure checking for unistd.h... (cached) yes
701:info:configure checking for dl.h... no
702:info:configure checking for sys/dl.h... no
703:info:configure checking for dld.h... no
704:info:configure checking for mach-o/dyld.h... yes
705:info:configure checking for dirent.h... yes
706:info:configure checking for closedir... yes
707:info:configure checking for opendir... yes
708:info:configure checking for readdir... yes
709:info:configure checking for strlcat... yes
710:info:configure checking for strlcpy... yes
711:info:configure checking that generated files are newer than configure... done
712:info:configure configure: creating ./config.status
713:info:configure config.status: creating Makefile
714:info:configure config.status: creating config.h
715:info:configure config.status: executing depfiles commands
716:info:configure config.status: executing libtool commands
717:info:configure ----------------------------------------------------------------
718:info:configure graphviz-9.0.0 will be compiled with the following:
719:info:configure options:
720:info:configure   cgraph:        Yes (always enabled)
721:info:configure   digcola:       Yes
722:info:configure   expat:         Yes
723:info:configure   freetype:      Yes
724:info:configure   glut:          No (missing GL/glut.h)
725:info:configure   ann:           No (no ann.pc or ANN.h found)
726:info:configure   gts:           Yes
727:info:configure   ipsepcola:     Yes
728:info:configure   ltdl:          Yes
729:info:configure   ortho:         Yes
730:info:configure   sfdp:          Yes
731:info:configure   swig:          No (disabled) (  )
732:info:configure   shared:        Yes
733:info:configure   static:        No (disabled by default)
734:info:configure   qt:            No (disabled)
735:info:configure   x:             Yes
736:info:configure commands:
737:info:configure   dot:           Yes (always enabled)
738:info:configure   neato:         Yes (always enabled)
739:info:configure   fdp:           Yes (always enabled)
740:info:configure   circo:         Yes (always enabled)
741:info:configure   twopi:         Yes (always enabled)
742:info:configure   gvpr:          Yes (always enabled)
743:info:configure   gvmap:         Yes (always enabled)
744:info:configure   smyrna:        No (disabled)
745:info:configure   gvedit:        No (disabled)
746:info:configure plugin libraries:
747:info:configure   dot_layout:    Yes (always enabled)
748:info:configure   neato_layout:  Yes (always enabled)
749:info:configure   core:          Yes (always enabled)
750:info:configure   devil:         No (disabled)
751:info:configure   gd:            Yes
752:info:configure   gdiplus:       No (disabled by default - Windows only)
753:info:configure   gdk:           No (gdk library not available)
754:info:configure   gdk_pixbuf:    No (disabled)
755:info:configure   ghostscript:   No (disabled)
756:info:configure   gtk:           No (disabled)
757:info:configure   lasi:          Yes
758:info:configure   pangocairo:    Yes
759:info:configure   poppler:       No (disabled)
760:info:configure   quartz:        Yes
761:info:configure   rsvg:          No (disabled)
762:info:configure   webp:          Yes
763:info:configure   xlib:          Yes
764:info:configure language extensions:
765:info:configure   gv_sharp:      No (disabled)
766:info:configure   gv_d:          No (disabled by default - incomplete)
767:info:configure   gv_go:         No (swig not available)
768:info:configure   gv_guile:      No (disabled)
769:info:configure   gv_java:       No (disabled)
770:info:configure   gv_javascript: No (disabled by default - incomplete)
771:info:configure   gv_lua:        No (disabled)
772:info:configure   gv_ocaml:      No (disabled)
773:info:configure   gv_perl:       No (disabled)
774:info:configure   gv_php:        No (disabled)
775:info:configure   gv_python3:    No (swig not available)
776:info:configure   gv_R:          No (disabled)
777:info:configure   gv_ruby:       No (disabled)
778:info:configure   gv_tcl:        No (tcl not available)
779:info:configure   tcldot:        No (tcl not available)
780:info:configure   tclpathplan:   No (tcl not available)
781:info:configure   gdtclft:       No (tcl not available)
782:info:configure Testing utilities:
783:info:configure   criterion:     No (Criterion unit testing framework not installed)
784:debug:configure Executing portconfigure::configure_finish
785:debug:configure Ignoring implicit declaration of function 'strchr' because it is whitelisted
786:debug:configure Privilege de-escalation not attempted as not running as root.
787:debug:build build phase started at Wed Sep 18 17:45:12 EDT 2024
788:notice:build --->  Building graphviz
789:debug:build Executing org.macports.build (graphviz)
790:debug:build Environment:
791:debug:build CC_PRINT_OPTIONS='YES'
792:debug:build CC_PRINT_OPTIONS_FILE='/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/.CC_PRINT_OPTIONS'
793:debug:build CPATH='/opt/local/include'
794:debug:build DEVELOPER_DIR='/Library/Developer/CommandLineTools'
795:debug:build LIBRARY_PATH='/opt/local/lib'
796:debug:build MACOSX_DEPLOYMENT_TARGET='14.0'
797:debug:build MACPORTS_LEGACY_SUPPORT_DISABLED='1'
798:debug:build SDKROOT='/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk'
799:debug:build SOURCE_DATE_EPOCH='1725307779'
800:info:build Executing:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0" && /usr/bin/make -j12 -w all
801:debug:build system:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0" && /usr/bin/make -j12 -w all
802:info:build make: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0'
803:info:build printf '#pragma once\n' > ./graphviz_version.h
804:info:build /usr/bin/grep -E 'PACKAGE|VERSION|GVPLUGIN' config.h >> ./graphviz_version.h
805:info:build /Library/Developer/CommandLineTools/usr/bin/make  all-recursive
806:info:build make[1]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0'
807:info:build Making all in libltdl
808:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/libltdl'
809:info:build /bin/sh /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/config/install-sh -d . libltdl
810:info:build cp ./libltdl/lt__argz_.h libltdl/lt__argz.h-t
811:info:build mv libltdl/lt__argz.h-t libltdl/lt__argz.h
812:info:build /Library/Developer/CommandLineTools/usr/bin/make  all-am
813:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/libltdl'
814:info:build /bin/sh ./libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I.  -DLTDLOPEN=libltdlc -DLT_CONFIG_H='<config.h>' -DLTDL -I. -I. -Ilibltdl -I./libltdl -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT libltdlc_la-lt__alloc.lo -MD -MP -MF .deps/libltdlc_la-lt__alloc.Tpo -c -o libltdlc_la-lt__alloc.lo `test -f 'lt__alloc.c' || echo './'`lt__alloc.c
815:info:build /bin/sh ./libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I.  -DLTDLOPEN=libltdlc -DLT_CONFIG_H='<config.h>' -DLTDL -I. -I. -Ilibltdl -I./libltdl -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT libltdlc_la-lt_dlloader.lo -MD -MP -MF .deps/libltdlc_la-lt_dlloader.Tpo -c -o libltdlc_la-lt_dlloader.lo `test -f 'lt_dlloader.c' || echo './'`lt_dlloader.c
816:info:build /bin/sh ./libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I.  -DLTDLOPEN=libltdlc -DLT_CONFIG_H='<config.h>' -DLTDL -I. -I. -Ilibltdl -I./libltdl -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT libltdlc_la-lt_error.lo -MD -MP -MF .deps/libltdlc_la-lt_error.Tpo -c -o libltdlc_la-lt_error.lo `test -f 'lt_error.c' || echo './'`lt_error.c
817:info:build /bin/sh ./libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I.  -DLTDLOPEN=libltdlc -DLT_CONFIG_H='<config.h>' -DLTDL -I. -I. -Ilibltdl -I./libltdl -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT libltdlc_la-ltdl.lo -MD -MP -MF .deps/libltdlc_la-ltdl.Tpo -c -o libltdlc_la-ltdl.lo `test -f 'ltdl.c' || echo './'`ltdl.c
818:info:build /bin/sh ./libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I.  -DLTDLOPEN=libltdlc -DLT_CONFIG_H='<config.h>' -DLTDL -I. -I. -Ilibltdl -I./libltdl -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT libltdlc_la-slist.lo -MD -MP -MF .deps/libltdlc_la-slist.Tpo -c -o libltdlc_la-slist.lo `test -f 'slist.c' || echo './'`slist.c
819:info:build depbase=`echo lt__argz.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
820:info:build     /bin/sh ./libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I.  -DLT_CONFIG_H='<config.h>' -DLTDL -I. -I. -Ilibltdl -I./libltdl -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT lt__argz.lo -MD -MP -MF $depbase.Tpo -c -o lt__argz.lo lt__argz.c &&\
821:info:build     mv -f $depbase.Tpo $depbase.Plo
822:info:build depbase=`echo loaders/dlopen.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
823:info:build     /bin/sh ./libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I.  -DLT_CONFIG_H='<config.h>' -DLTDL -I. -I. -Ilibltdl -I./libltdl -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT loaders/dlopen.lo -MD -MP -MF $depbase.Tpo -c -o loaders/dlopen.lo loaders/dlopen.c &&\
824:info:build     mv -f $depbase.Tpo $depbase.Plo
825:info:build /bin/sh ./libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I.  -DLTDLOPEN=libltdlc -DLT_CONFIG_H='<config.h>' -DLTDL -I. -I. -Ilibltdl -I./libltdl -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT loaders/libltdlc_la-preopen.lo -MD -MP -MF loaders/.deps/libltdlc_la-preopen.Tpo -c -o loaders/libltdlc_la-preopen.lo `test -f 'loaders/preopen.c' || echo './'`loaders/preopen.c
826:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -DLTDLOPEN=libltdlc "-DLT_CONFIG_H=<config.h>" -DLTDL -I. -I. -Ilibltdl -I./libltdl -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT libltdlc_la-lt__alloc.lo -MD -MP -MF .deps/libltdlc_la-lt__alloc.Tpo -c lt__alloc.c  -fno-common -DPIC -o .libs/libltdlc_la-lt__alloc.o
827:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -DLTDLOPEN=libltdlc "-DLT_CONFIG_H=<config.h>" -DLTDL -I. -I. -Ilibltdl -I./libltdl -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT libltdlc_la-lt_dlloader.lo -MD -MP -MF .deps/libltdlc_la-lt_dlloader.Tpo -c lt_dlloader.c  -fno-common -DPIC -o .libs/libltdlc_la-lt_dlloader.o
828:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -DLTDLOPEN=libltdlc "-DLT_CONFIG_H=<config.h>" -DLTDL -I. -I. -Ilibltdl -I./libltdl -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT libltdlc_la-lt_error.lo -MD -MP -MF .deps/libltdlc_la-lt_error.Tpo -c lt_error.c  -fno-common -DPIC -o .libs/libltdlc_la-lt_error.o
829:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -DLTDLOPEN=libltdlc "-DLT_CONFIG_H=<config.h>" -DLTDL -I. -I. -Ilibltdl -I./libltdl -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT libltdlc_la-ltdl.lo -MD -MP -MF .deps/libltdlc_la-ltdl.Tpo -c ltdl.c  -fno-common -DPIC -o .libs/libltdlc_la-ltdl.o
830:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -DLTDLOPEN=libltdlc "-DLT_CONFIG_H=<config.h>" -DLTDL -I. -I. -Ilibltdl -I./libltdl -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT libltdlc_la-slist.lo -MD -MP -MF .deps/libltdlc_la-slist.Tpo -c slist.c  -fno-common -DPIC -o .libs/libltdlc_la-slist.o
831:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. "-DLT_CONFIG_H=<config.h>" -DLTDL -I. -I. -Ilibltdl -I./libltdl -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT lt__argz.lo -MD -MP -MF .deps/lt__argz.Tpo -c lt__argz.c  -fno-common -DPIC -o .libs/lt__argz.o
832:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. "-DLT_CONFIG_H=<config.h>" -DLTDL -I. -I. -Ilibltdl -I./libltdl -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT loaders/dlopen.lo -MD -MP -MF loaders/.deps/dlopen.Tpo -c loaders/dlopen.c  -fno-common -DPIC -o loaders/.libs/dlopen.o
833:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -DLTDLOPEN=libltdlc "-DLT_CONFIG_H=<config.h>" -DLTDL -I. -I. -Ilibltdl -I./libltdl -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT loaders/libltdlc_la-preopen.lo -MD -MP -MF loaders/.deps/libltdlc_la-preopen.Tpo -c loaders/preopen.c  -fno-common -DPIC -o loaders/.libs/libltdlc_la-preopen.o
834:info:build ltdl.c:342:8: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
835:info:build   342 |               FREE (vtable);
836:info:build       |               ^~~~~~~~~~~~~
837:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
838:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
839:info:build       |                                                 ^~~~~~~~~~~~~
840:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
841:info:build    68 | #  define LT_STMT_START        (void)(
842:info:build       |                                      ^
843:info:build ltdl.c:342:8: note: '{' token is here
844:info:build   342 |               FREE (vtable);
845:info:build       |               ^~~~~~~~~~~~~
846:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
847:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
848:info:build       |                                                               ^
849:info:build ltdl.c:342:8: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
850:info:build   342 |               FREE (vtable);
851:info:build       |               ^~~~~~~~~~~~~
852:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
853:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
854:info:build       |                                                                 ^
855:info:build ltdl.c:342:8: note: ')' token is here
856:info:build   342 |               FREE (vtable);
857:info:build       |               ^~~~~~~~~~~~~
858:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
859:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
860:info:build       |                                                                   ^~~~~~~~~~~
861:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
862:info:build    69 | #  define LT_STMT_END          )
863:info:build       |                                ^
864:info:build ltdl.c:356:7: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
865:info:build   356 |       FREE(user_search_path);
866:info:build       |       ^~~~~~~~~~~~~~~~~~~~~~
867:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
868:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
869:info:build       |                                                 ^~~~~~~~~~~~~
870:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
871:info:build    68 | #  define LT_STMT_START        (void)(
872:info:build       |                                      ^
873:info:build ltdl.c:356:7: note: '{' token is here
874:info:build   356 |       FREE(user_search_path);
875:info:build       |       ^~~~~~~~~~~~~~~~~~~~~~
876:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
877:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
878:info:build       |                                                               ^
879:info:build ltdl.c:356:7: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
880:info:build   356 |       FREE(user_search_path);
881:info:build       |       ^~~~~~~~~~~~~~~~~~~~~~
882:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
883:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
884:info:build       |                                                                 ^
885:info:build ltdl.c:356:7: note: ')' token is here
886:info:build   356 |       FREE(user_search_path);
887:info:build       |       ^~~~~~~~~~~~~~~~~~~~~~
888:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
889:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
890:info:build       |                                                                   ^~~~~~~~~~~
891:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
892:info:build    69 | #  define LT_STMT_END          )
893:info:build       |                                ^
894:info:build ltdl.c:477:2: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
895:info:build   477 |         FREE (handle->info.filename);
896:info:build       |         ^~~~~~~~~~~~~~~~~~~~~~~~~~~~
897:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
898:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
899:info:build       |                                                 ^~~~~~~~~~~~~
900:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
901:info:build    68 | #  define LT_STMT_START        (void)(
902:info:build       |                                      ^
903:info:build ltdl.c:477:2: note: '{' token is here
904:info:build   477 |         FREE (handle->info.filename);
905:info:build       |         ^~~~~~~~~~~~~~~~~~~~~~~~~~~~
906:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
907:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
908:info:build       |                                                               ^
909:info:build ltdl.c:477:2: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
910:info:build   477 |         FREE (handle->info.filename);
911:info:build       |         ^~~~~~~~~~~~~~~~~~~~~~~~~~~~
912:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
913:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
914:info:build       |                                                                 ^
915:info:build ltdl.c:477:2: note: ')' token is here
916:info:build   477 |         FREE (handle->info.filename);
917:info:build       |         ^~~~~~~~~~~~~~~~~~~~~~~~~~~~
918:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
919:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
920:info:build       |                                                                   ^~~~~~~~~~~
921:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
922:info:build    69 | #  define LT_STMT_END          )
923:info:build       |                                ^
924:info:build ltdl.c:537:3: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
925:info:build   537 |   FREE (filename);
926:info:build       |   ^~~~~~~~~~~~~~~
927:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
928:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
929:info:build       |                                                 ^~~~~~~~~~~~~
930:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
931:info:build    68 | #  define LT_STMT_START        (void)(
932:info:build       |                                      ^
933:info:build ltdl.c:537:3: note: '{' token is here
934:info:build   537 |   FREE (filename);
935:info:build       |   ^~~~~~~~~~~~~~~
936:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
937:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
938:info:build       |                                                               ^
939:info:build ltdl.c:537:3: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
940:info:build   537 |   FREE (filename);
941:info:build       |   ^~~~~~~~~~~~~~~
942:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
943:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
944:info:build       |                                                                 ^
945:info:build ltdl.c:537:3: note: ')' token is here
946:info:build   537 |   FREE (filename);
947:info:build       |   ^~~~~~~~~~~~~~~
948:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
949:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
950:info:build       |                                                                   ^~~~~~~~~~~
951:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
952:info:build    69 | #  define LT_STMT_END          )
953:info:build       |                                ^
954:info:build ltdl.c:710:4: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
955:info:build   710 |           FREE (filename);
956:info:build       |           ^~~~~~~~~~~~~~~
957:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
958:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
959:info:build       |                                                 ^~~~~~~~~~~~~
960:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
961:info:build    68 | #  define LT_STMT_START        (void)(
962:info:build       |                                      ^
963:info:build ltdl.c:710:4: note: '{' token is here
964:info:build   710 |           FREE (filename);
965:info:build       |           ^~~~~~~~~~~~~~~
966:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
967:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
968:info:build       |                                                               ^
969:info:build ltdl.c:710:4: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
970:info:build   710 |           FREE (filename);
971:info:build       |           ^~~~~~~~~~~~~~~
972:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
973:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
974:info:build       |                                                                 ^
975:info:build ltdl.c:710:4: note: ')' token is here
976:info:build   710 |           FREE (filename);
977:info:build       |           ^~~~~~~~~~~~~~~
978:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
979:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
980:info:build       |                                                                   ^~~~~~~~~~~
981:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
982:info:build    69 | #  define LT_STMT_END          )
983:info:build       |                                ^
984:info:build ltdl.c:735:3: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
985:info:build   735 |   FREE (argz);
986:info:build       |   ^~~~~~~~~~~
987:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
988:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
989:info:build       |                                                 ^~~~~~~~~~~~~
990:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
991:info:build    68 | #  define LT_STMT_START        (void)(
992:info:build       |                                      ^
993:info:build ltdl.c:735:3: note: '{' token is here
994:info:build   735 |   FREE (argz);
995:info:build       |   ^~~~~~~~~~~
996:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
997:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
998:info:build       |                                                               ^
999:info:build ltdl.c:735:3: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1000:info:build   735 |   FREE (argz);
1001:info:build       |   ^~~~~~~~~~~
1002:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1003:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1004:info:build       |                                                                 ^
1005:info:build ltdl.c:735:3: note: ')' token is here
1006:info:build   735 |   FREE (argz);
1007:info:build       |   ^~~~~~~~~~~
1008:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1009:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1010:info:build       |                                                                   ^~~~~~~~~~~
1011:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1012:info:build    69 | #  define LT_STMT_END          )
1013:info:build       |                                ^
1014:info:build ltdl.c:736:3: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1015:info:build   736 |   FREE (canonical);
1016:info:build       |   ^~~~~~~~~~~~~~~~
1017:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1018:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1019:info:build       |                                                 ^~~~~~~~~~~~~
1020:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1021:info:build    68 | #  define LT_STMT_START        (void)(
1022:info:build       |                                      ^
1023:info:build ltdl.c:736:3: note: '{' token is here
1024:info:build   736 |   FREE (canonical);
1025:info:build       |   ^~~~~~~~~~~~~~~~
1026:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1027:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1028:info:build       |                                                               ^
1029:info:build ltdl.c:736:3: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1030:info:build   736 |   FREE (canonical);
1031:info:build       |   ^~~~~~~~~~~~~~~~
1032:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1033:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1034:info:build       |                                                                 ^
1035:info:build ltdl.c:736:3: note: ')' token is here
1036:info:build   736 |   FREE (canonical);
1037:info:build       |   ^~~~~~~~~~~~~~~~
1038:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1039:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1040:info:build       |                                                                   ^~~~~~~~~~~
1041:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1042:info:build    69 | #  define LT_STMT_END          )
1043:info:build       |                                ^
1044:info:build ltdl.c:737:3: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1045:info:build   737 |   FREE (filename);
1046:info:build       |   ^~~~~~~~~~~~~~~
1047:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1048:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1049:info:build       |                                                 ^~~~~~~~~~~~~
1050:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1051:info:build    68 | #  define LT_STMT_START        (void)(
1052:info:build       |                                      ^
1053:info:build ltdl.c:737:3: note: '{' token is here
1054:info:build   737 |   FREE (filename);
1055:info:build       |   ^~~~~~~~~~~~~~~
1056:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1057:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1058:info:build       |                                                               ^
1059:info:build ltdl.c:737:3: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1060:info:build   737 |   FREE (filename);
1061:info:build       |   ^~~~~~~~~~~~~~~
1062:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1063:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1064:info:build       |                                                                 ^
1065:info:build ltdl.c:737:3: note: ')' token is here
1066:info:build   737 |   FREE (filename);
1067:info:build       |   ^~~~~~~~~~~~~~~
1068:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1069:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1070:info:build       |                                                                   ^~~~~~~~~~~
1071:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1072:info:build    69 | #  define LT_STMT_END          )
1073:info:build       |                                ^
1074:info:build ltdl.c:763:7: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1075:info:build   763 |       FREE (*pdir);
1076:info:build       |       ^~~~~~~~~~~~
1077:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1078:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1079:info:build       |                                                 ^~~~~~~~~~~~~
1080:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1081:info:build    68 | #  define LT_STMT_START        (void)(
1082:info:build       |                                      ^
1083:info:build ltdl.c:763:7: note: '{' token is here
1084:info:build   763 |       FREE (*pdir);
1085:info:build       |       ^~~~~~~~~~~~
1086:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1087:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1088:info:build       |                                                               ^
1089:info:build ltdl.c:763:7: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1090:info:build   763 |       FREE (*pdir);
1091:info:build       |       ^~~~~~~~~~~~
1092:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1093:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1094:info:build       |                                                                 ^
1095:info:build ltdl.c:763:7: note: ')' token is here
1096:info:build   763 |       FREE (*pdir);
1097:info:build       |       ^~~~~~~~~~~~
1098:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1099:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1100:info:build       |                                                                   ^~~~~~~~~~~
1101:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1102:info:build    69 | #  define LT_STMT_END          )
1103:info:build       |                                ^
1104:info:build loaders/preopen.c:251:7: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1105:info:build   251 |       FREE (lists);
1106:info:build       |       ^~~~~~~~~~~~
1107:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1108:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1109:info:build       |                                                 ^~~~~~~~~~~~~
1110:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1111:info:build    68 | #  define LT_STMT_STltdl.c:997:7: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1112:info:build A  R997T |               (void)(
1113:info:build       |                                      ^
1114:info:build loaders/preopen.c:251:7: note: '{' token is hereF
1115:info:build REE (c  251 |       FREE u(lists);
1116:info:build       |       ^~~~~~~~~~~~r
1117:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1118:info:build -   >41 | #define FREEd(emem)                               LT_SpTMT_START { l\
1119:info:build       |                                                               ^
1120:info:build ibs);
1121:info:build       |       ^~~~~~~~~~~~~~~~~~~
1122:info:build loaders/preopen.c:251:7: libltdl/lt__alloc.hwarning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1123:info:build   251 |   : 41   FREE (lists:);
1124:info:build       |       ^~~~~~~~~~~~
1125:info:build libltdl/lt__alloc.h:2242:30: note: expanded from macro 'FREE'
1126:info:build    42 |         free :( note: expanded from macro 'FREE'
1127:info:build    m41e | m#)d;e fmienme  =F RNEUEL(Lm;em )                                                       LT_STMT_START { \
1128:info:build               |                                                 ^~~~~~~~~~~~~
1129:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1130:info:build    68 | #  define} LT_STMT_STA RLTT _ S T M    (voidT_END
1131:info:build )      (|
1132:info:build                                                                 ^     
1133:info:build |                                      ^
1134:info:build ltdl.c:loaders/preopen.c997::2517::7 :note:  '{' token is herenote:
1135:info:build ')' token is here
1136:info:build     997251 |  |       FREE (lists);
1137:info:build       |       ^~~~~~~~~~~~
1138:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1139:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1140:info:build       |                                                                   ^~~~~~~~~~~
1141:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1142:info:build    69 | #  define LT_STMT_END          )
1143:info:build       |                                ^
1144:info:build       FREE (cur->deplibs);
1145:info:build       |       ^~~~~~~~~~~~~~~~~~~
1146:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1147:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1148:info:build       |                                                               ^
1149:info:build ltdl.c:997:7: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1150:info:build   997 |       FREE (cur->deplibs);
1151:info:build       |       ^~~~~~~~~~~~~~~~~~~
1152:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1153:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1154:info:build       |                                                                 ^
1155:info:build ltdl.c:997:7: note: ')' token is here
1156:info:build   997 |       FREE (cur->deplibs);
1157:info:build       |       ^~~~~~~~~~~~~~~~~~~
1158:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1159:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1160:info:build       |                                                                   ^~~~~~~~~~~
1161:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1162:info:build    69 | #  define LT_STMT_END          )
1163:info:build       |                                ^
1164:info:build ltdl.c:1012:3: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1165:info:build  1012 |   FREE (*dest);
1166:info:build       |   ^~~~~~~~~~~~
1167:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1168:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1169:info:build       |                                                 ^~~~~~~~~~~~~
1170:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1171:info:build    68 | #  define LT_STMT_START        (void)(
1172:info:build       |                                      ^
1173:info:build ltdl.c:1012:3: note: '{' token is here
1174:info:build  1012 |   FREE (*dest);
1175:info:build       |   ^~~~~~~~~~~~
1176:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1177:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1178:info:build       |                                                               ^
1179:info:build ltdl.c:1012:3: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1180:info:build  1012 |   FREE (*dest);
1181:info:build       |   ^~~~~~~~~~~~
1182:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1183:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1184:info:build       |                                                                 ^
1185:info:build ltdl.c:1012:3: note: ')' token is here
1186:info:build  1012 |   FREE (*dest);
1187:info:build       |   ^~~~~~~~~~~~
1188:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1189:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1190:info:build       |                                                                   ^~~~~~~~~~~
1191:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1192:info:build    69 | #  define LT_STMT_END          )
1193:info:build       |                                ^
1194:info:build ltdl.c:1144:8: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1195:info:build  1144 |               MEMREASSIGN (*dlname, last_libname);
1196:info:build       |               ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1197:info:build libltdl/lt__alloc.h:43:29: note: expanded from macro 'MEMREASSIGN'
1198:info:build    43 | #define MEMREASSIGN(p, q)                       LT_STMT_START { \
1199:info:build       |                                                 ^~~~~~~~~~~~~
1200:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1201:info:build    68 | #  define LT_STMT_START        (void)(
1202:info:build       |                                      ^
1203:info:build ltdl.c:1144:8: note: '{' token is here
1204:info:build  1144 |               MEMREASSIGN (*dlname, last_libname);
1205:info:build       |               ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1206:info:build libltdl/lt__alloc.h:43:43: note: expanded from macro 'MEMREASSIGN'
1207:info:build    43 | #define MEMREASSIGN(p, q)                       LT_STMT_START { \
1208:info:build       |                                                               ^
1209:info:build ltdl.c:1144:8: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1210:info:build  1144 |               MEMREASSIGN (*dlname, last_libname);
1211:info:build       |               ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1212:info:build libltdl/lt__alloc.h:45:9: note: expanded from macro 'MEMREASSIGN'
1213:info:build    45 |                                                                 } LT_STMT_END
1214:info:build       |                                                                 ^
1215:info:build ltdl.c:1144:8: note: ')' token is here
1216:info:build  1144 |               MEMREASSIGN (*dlname, last_libname);
1217:info:build       |               ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1218:info:build libltdl/lt__alloc.h:45:11: note: expanded from macro 'MEMREASSIGN'
1219:info:build    45 |                                                                 } LT_STMT_END
1220:info:build       |                                                                   ^~~~~~~~~~~
1221:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1222:info:build    69 | #  define LT_STMT_END          )
1223:info:build       |                                ^
1224:info:build ltdl.c:1152:3: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1225:info:build  1152 |   FREE (line);
1226:info:build       |   ^~~~~~~~~~~
1227:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1228:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1229:info:build       |                                                 ^~~~~~~~~~~~~
1230:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1231:info:build    68 | #  define LT_STMT_START        (void)(
1232:info:build       |                                      ^
1233:info:build ltdl.c:1152:3: note: '{' token is here
1234:info:build  1152 |   FREE (line);
1235:info:build       |   ^~~~~~~~~~~
1236:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1237:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1238:info:build       |                                                               ^
1239:info:build ltdl.c:1152:3: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1240:info:build  1152 |   FREE (line);
1241:info:build       |   ^~~~~~~~~~~
1242:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1243:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1244:info:build       |                                                                 ^
1245:info:build ltdl.c:1152:3: note: ')' token is here
1246:info:build  1152 |   FREE (line);
1247:info:build       |   ^~~~~~~~~~~
1248:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1249:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1250:info:build       |                                                                   ^~~~~~~~~~~
1251:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1252:info:build    69 | #  define LT_STMT_END          )
1253:info:build       |                                ^
1254:info:build ltdl.c:1197:4: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1255:info:build  1197 |           FREE (*phandle);
1256:info:build       |           ^~~~~~~~~~~~~~~
1257:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1258:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1259:info:build       |                                                 ^~~~~~~~~~~~~
1260:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1261:info:build    68 | #  define LT_STMT_START        (void)(
1262:info:build       |                                      ^
1263:info:build ltdl.c:1197:4: note: '{' token is here
1264:info:build  1197 |           FREE (*phandle);
1265:info:build       |           ^~~~~~~~~~~~~~~
1266:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1267:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1268:info:build       |                                                               ^
1269:info:build ltdl.c:1197:4: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1270:info:build  1197 |           FREE (*phandle);
1271:info:build       |           ^~~~~~~~~~~~~~~
1272:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1273:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1274:info:build       |                                                                 ^
1275:info:build ltdl.c:1197:4: note: ')' token is here
1276:info:build  1197 |           FREE (*phandle);
1277:info:build       |           ^~~~~~~~~~~~~~~
1278:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1279:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1280:info:build       |                                                                   ^~~~~~~~~~~
1281:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1282:info:build    69 | #  define LT_STMT_END          )
1283:info:build       |                                ^
1284:info:build ltdl.c:1249:5: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1285:info:build  1249 |     MEMREASSIGN (base_name, canonical);
1286:info:build       |     ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1287:info:build libltdl/lt__alloc.h:43:29: note: expanded from macro 'MEMREASSIGN'
1288:info:build    43 | #define MEMREASSIGN(p, q)                       LT_STMT_START { \
1289:info:build       |                                                 ^~~~~~~~~~~~~
1290:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1291:info:build    68 | #  define LT_STMT_START        (void)(
1292:info:build       |                                      ^
1293:info:build ltdl.c:1249:5: note: '{' token is here
1294:info:build  1249 |     MEMREASSIGN (base_name, canonical);
1295:info:build       |     ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1296:info:build libltdl/lt__alloc.h:43:43: note: expanded from macro 'MEMREASSIGN'
1297:info:build    43 | #define MEMREASSIGN(p, q)                       LT_STMT_START { \
1298:info:build       |                                                               ^
1299:info:build ltdl.c:1249:5: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1300:info:build  1249 |     MEMREASSIGN (base_name, canonical);
1301:info:build       |     ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1302:info:build libltdl/lt__alloc.h:45:9: note: expanded from macro 'MEMREASSIGN'
1303:info:build    45 |                                                                 } LT_STMT_END
1304:info:build       |                                                                 ^
1305:info:build ltdl.c:1249:5: note: ')' token is here
1306:info:build  1249 |     MEMREASSIGN (base_name, canonical);
1307:info:build       |     ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1308:info:build libltdl/lt__alloc.h:45:11: note: expanded from macro 'MEMREASSIGN'
1309:info:build    45 |                                                                 } LT_STMT_END
1310:info:build       |                                                                   ^~~~~~~~~~~
1311:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1312:info:build    69 | #  define LT_STMT_END          )
1313:info:build       |                                ^
1314:info:build ltdl.c:1321:4: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1315:info:build  1321 |           FREE (*phandle);
1316:info:build       |           ^~~~~~~~~~~~~~~
1317:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1318:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1319:info:build       |                                                 ^~~~~~~~~~~~~
1320:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1321:info:build    68 | #  define LT_STMT_START        (void)(
1322:info:build       |                                      ^
1323:info:build ltdl.c:1321:4: note: '{' token is here
1324:info:build  1321 |           FREE (*phandle);
1325:info:build       |           ^~~~~~~~~~~~~~~
1326:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1327:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1328:info:build       |                                                               ^
1329:info:build ltdl.c:1321:4: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1330:info:build  1321 |           FREE (*phandle);
1331:info:build       |           ^~~~~~~~~~~~~~~
1332:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1333:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1334:info:build       |                                                                 ^
1335:info:build ltdl.c:1321:4: note: ')' token is here
1336:info:build  1321 |           FREE (*phandle);
1337:info:build       |           ^~~~~~~~~~~~~~~
1338:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1339:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1340:info:build       |                                                                   ^~~~~~~~~~~
1341:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1342:info:build    69 | #  define LT_STMT_END          )
1343:info:build       |                                ^
1344:info:build ltdl.c:1375:14: warning: use of logical '&&' with constant operand [-Wconstant-logical-operand]
1345:info:build  1375 |           if (!file && *sys_dlsearch_path)
1346:info:build       |                     ^  ~~~~~~~~~~~~~~~~~~
1347:info:build ltdl.c:1375:14: note: use '&' for a bitwise operation
1348:info:build  1375 |           if (!file && *sys_dlsearch_path)
1349:info:build       |                     ^~
1350:info:build       |                     &
1351:info:build ltdl.c:1375:14: note: remove constant to silence this warning
1352:info:build  1375 |           if (!file && *sys_dlsearch_path)
1353:info:build       |                     ^~~~~~~~~~~~~~~~~~~~~
1354:info:build ltdl.c:1409:4: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1355:info:build  1409 |           FREE (dlname);
1356:info:build       |           ^~~~~~~~~~~~~
1357:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1358:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1359:info:build       |                                                 ^~~~~~~~~~~~~
1360:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1361:info:build    68 | #  define LT_STMT_START        (void)(
1362:info:build       |                                      ^
1363:info:build ltdl.c:1409:4: note: '{' token is here
1364:info:build  1409 |           FREE (dlname);
1365:info:build       |           ^~~~~~~~~~~~~
1366:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1367:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1368:info:build       |                                                               ^
1369:info:build ltdl.c:1409:4: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1370:info:build  1409 |           FREE (dlname);
1371:info:build       |           ^~~~~~~~~~~~~
1372:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1373:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1374:info:build       |                                                                 ^
1375:info:build ltdl.c:1409:4: note: ')' token is here
1376:info:build  1409 |           FREE (dlname);
1377:info:build       |           ^~~~~~~~~~~~~
1378:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1379:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1380:info:build       |                                                                   ^~~~~~~~~~~
1381:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1382:info:build    69 | #  define LT_STMT_END          )
1383:info:build       |                                ^
1384:info:build ltdl.c:1410:4: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1385:info:build  1410 |           FREE (old_name);
1386:info:build       |           ^~~~~~~~~~~~~~~
1387:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1388:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1389:info:build       |                                                 ^~~~~~~~~~~~~
1390:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1391:info:build    68 | #  define LT_STMT_START        (void)(
1392:info:build       |                                      ^
1393:info:build ltdl.c:1410:4: note: '{' token is here
1394:info:build  1410 |           FREE (old_name);
1395:info:build       |           ^~~~~~~~~~~~~~~
1396:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1397:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1398:info:build       |                                                               ^
1399:info:build ltdl.c:1410:4: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1400:info:build  1410 |           FREE (old_name);
1401:info:build       |           ^~~~~~~~~~~~~~~
1402:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1403:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1404:info:build       |                                                                 ^
1405:info:build ltdl.c:1410:4: note: ')' token is here
1406:info:build  1410 |           FREE (old_name);
1407:info:build       |           ^~~~~~~~~~~~~~~
1408:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1409:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1410:info:build       |                                                                   ^~~~~~~~~~~
1411:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1412:info:build    69 | #  define LT_STMT_END          )
1413:info:build       |                                ^
1414:info:build ltdl.c:1411:4: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1415:info:build  1411 |           FREE (libdir);
1416:info:build       |           ^~~~~~~~~~~~~
1417:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1418:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1419:info:build       |                                                 ^~~~~~~~~~~~~
1420:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1421:info:build    68 | #  define LT_STMT_START        (void)(
1422:info:build       |                                      ^
1423:info:build ltdl.c:1411:4: note: '{' token is here
1424:info:build  1411 |           FREE (libdir);
1425:info:build       |           ^~~~~~~~~~~~~
1426:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1427:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1428:info:build       |                                                               ^
1429:info:build ltdl.c:1411:4: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1430:info:build  1411 |           FREE (libdir);
1431:info:build       |           ^~~~~~~~~~~~~
1432:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1433:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1434:info:build       |                                                                 ^
1435:info:build ltdl.c:1411:4: note: ')' token is here
1436:info:build  1411 |           FREE (libdir);
1437:info:build       |           ^~~~~~~~~~~~~
1438:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1439:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1440:info:build       |                                                                   ^~~~~~~~~~~
1441:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1442:info:build    69 | #  define LT_STMT_END          )
1443:info:build       |                                ^
1444:info:build ltdl.c:1412:4: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1445:info:build  1412 |           FREE (deplibs);
1446:info:build       |           ^~~~~~~~~~~~~~
1447:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1448:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1449:info:build       |                                                 ^~~~~~~~~~~~~
1450:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1451:info:build    68 | #  define LT_STMT_START        (void)(
1452:info:build       |                                      ^
1453:info:build ltdl.c:1412:4: note: '{' token is here
1454:info:build  1412 |           FREE (deplibs);
1455:info:build       |           ^~~~~~~~~~~~~~
1456:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1457:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1458:info:build       |                                                               ^
1459:info:build ltdl.c:1412:4: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1460:info:build  1412 |           FREE (deplibs);
1461:info:build       |           ^~~~~~~~~~~~~~
1462:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1463:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1464:info:build       |                                                                 ^
1465:info:build ltdl.c:1412:4: note: ')' token is here
1466:info:build  1412 |           FREE (deplibs);
1467:info:build       |           ^~~~~~~~~~~~~~
1468:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1469:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1470:info:build       |                                                                   ^~~~~~~~~~~
1471:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1472:info:build    69 | #  define LT_STMT_END          )
1473:info:build       |                                ^
1474:info:build ltdl.c:1413:4: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1475:info:build  1413 |           FREE (*phandle);
1476:info:build       |           ^~~~~~~~~~~~~~~
1477:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1478:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1479:info:build       |                                                 ^~~~~~~~~~~~~
1480:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1481:info:build    68 | #  define LT_STMT_START        (void)(
1482:info:build       |                                      ^
1483:info:build ltdl.c:1413:4: note: '{' token is here
1484:info:build  1413 |           FREE (*phandle);
1485:info:build       |           ^~~~~~~~~~~~~~~
1486:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1487:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1488:info:build       |                                                               ^
1489:info:build ltdl.c:1413:4: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1490:info:build  1413 |           FREE (*phandle);
1491:info:build       |           ^~~~~~~~~~~~~~~
1492:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1493:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1494:info:build       |                                                                 ^
1495:info:build ltdl.c:1413:4: note: ')' token is here
1496:info:build  1413 |           FREE (*phandle);
1497:info:build       |           ^~~~~~~~~~~~~~~
1498:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1499:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1500:info:build       |                                                                   ^~~~~~~~~~~
1501:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1502:info:build    69 | #  define LT_STMT_END          )
1503:info:build       |                                ^
1504:info:build ltdl.c:1435:7: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1505:info:build  1435 |       FREE (dlname);
1506:info:build       |       ^~~~~~~~~~~~~
1507:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1508:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1509:info:build       |                                                 ^~~~~~~~~~~~~
1510:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1511:info:build    68 | #  define LT_STMT_START        (void)(
1512:info:build       |                                      ^
1513:info:build ltdl.c:1435:7: note: '{' token is here
1514:info:build  1435 |       FREE (dlname);
1515:info:build       |       ^~~~~~~~~~~~~
1516:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1517:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1518:info:build       |                                                               ^
1519:info:build ltdl.c:1435:7: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1520:info:build  1435 |       FREE (dlname);
1521:info:build       |       ^~~~~~~~~~~~~
1522:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1523:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1524:info:build       |                                                                 ^
1525:info:build ltdl.c:1435:7: note: ')' token is here
1526:info:build  1435 |       FREE (dlname);
1527:info:build       |       ^~~~~~~~~~~~~
1528:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1529:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1530:info:build       |                                                                   ^~~~~~~~~~~
1531:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1532:info:build    69 | #  define LT_STMT_END          )
1533:info:build       |                                ^
1534:info:build ltdl.c:1436:7: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1535:info:build  1436 |       FREE (old_name);
1536:info:build       |       ^~~~~~~~~~~~~~~
1537:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1538:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1539:info:build       |                                                 ^~~~~~~~~~~~~
1540:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1541:info:build    68 | #  define LT_STMT_START        (void)(
1542:info:build       |                                      ^
1543:info:build ltdl.c:1436:7: note: '{' token is here
1544:info:build  1436 |       FREE (old_name);
1545:info:build       |       ^~~~~~~~~~~~~~~
1546:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1547:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1548:info:build       |                                                               ^
1549:info:build ltdl.c:1436:7: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1550:info:build  1436 |       FREE (old_name);
1551:info:build       |       ^~~~~~~~~~~~~~~
1552:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1553:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1554:info:build       |                                                                 ^
1555:info:build ltdl.c:1436:7: note: ')' token is here
1556:info:build  1436 |       FREE (old_name);
1557:info:build       |       ^~~~~~~~~~~~~~~
1558:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1559:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1560:info:build       |                                                                   ^~~~~~~~~~~
1561:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1562:info:build    69 | #  define LT_STMT_END          )
1563:info:build       |                                ^
1564:info:build ltdl.c:1437:7: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1565:info:build  1437 |       FREE (libdir);
1566:info:build       |       ^~~~~~~~~~~~~
1567:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1568:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1569:info:build       |                                                 ^~~~~~~~~~~~~
1570:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1571:info:build    68 | #  define LT_STMT_START        (void)(
1572:info:build       |                                      ^
1573:info:build ltdl.c:1437:7: note: '{' token is here
1574:info:build  1437 |       FREE (libdir);
1575:info:build       |       ^~~~~~~~~~~~~
1576:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1577:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1578:info:build       |                                                               ^
1579:info:build ltdl.c:1437:7: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1580:info:build  1437 |       FREE (libdir);
1581:info:build       |       ^~~~~~~~~~~~~
1582:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1583:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1584:info:build       |                                                                 ^
1585:info:build ltdl.c:1437:7: note: ')' token is here
1586:info:build  1437 |       FREE (libdir);
1587:info:build       |       ^~~~~~~~~~~~~
1588:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1589:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1590:info:build       |                                                                   ^~~~~~~~~~~
1591:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1592:info:build    69 | #  define LT_STMT_END          )
1593:info:build       |                                ^
1594:info:build ltdl.c:1438:7: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1595:info:build  1438 |       FREE (deplibs);
1596:info:build       |       ^~~~~~~~~~~~~~
1597:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1598:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1599:info:build       |                                                 ^~~~~~~~~~~~~
1600:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1601:info:build    68 | #  define LT_STMT_START        (void)(
1602:info:build       |                                      ^
1603:info:build ltdl.c:1438:7: note: '{' token is here
1604:info:build  1438 |       FREE (deplibs);
1605:info:build       |       ^~~~~~~~~~~~~~
1606:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1607:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1608:info:build       |                                                               ^
1609:info:build ltdl.c:1438:7: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1610:info:build  1438 |       FREE (deplibs);
1611:info:build       |       ^~~~~~~~~~~~~~
1612:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1613:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1614:info:build       |                                                                 ^
1615:info:build ltdl.c:1438:7: note: ')' token is here
1616:info:build  1438 |       FREE (deplibs);
1617:info:build       |       ^~~~~~~~~~~~~~
1618:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1619:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1620:info:build       |                                                                   ^~~~~~~~~~~
1621:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1622:info:build    69 | #  define LT_STMT_END          )
1623:info:build       |                                ^
1624:info:build ltdl.c:1442:4: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1625:info:build  1442 |           FREE (*phandle);
1626:info:build       |           ^~~~~~~~~~~~~~~
1627:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1628:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1629:info:build       |                                                 ^~~~~~~~~~~~~
1630:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1631:info:build    68 | #  define LT_STMT_START        (void)(
1632:info:build       |                                      ^
1633:info:build ltdl.c:1442:4: note: '{' token is here
1634:info:build  1442 |           FREE (*phandle);
1635:info:build       |           ^~~~~~~~~~~~~~~
1636:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1637:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1638:info:build       |                                                               ^
1639:info:build ltdl.c:1442:4: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1640:info:build  1442 |           FREE (*phandle);
1641:info:build       |           ^~~~~~~~~~~~~~~
1642:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1643:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1644:info:build       |                                                                 ^
1645:info:build ltdl.c:1442:4: note: ')' token is here
1646:info:build  1442 |           FREE (*phandle);
1647:info:build       |           ^~~~~~~~~~~~~~~
1648:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1649:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1650:info:build       |                                                                   ^~~~~~~~~~~
1651:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1652:info:build    69 | #  define LT_STMT_END          )
1653:info:build       |                                ^
1654:info:build ltdl.c:1489:4: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1655:info:build  1489 |           FREE (*phandle);
1656:info:build       |           ^~~~~~~~~~~~~~~
1657:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1658:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1659:info:build       |                                                 ^~~~~~~~~~~~~
1660:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1661:info:build    68 | #  define LT_STMT_START        (void)(
1662:info:build       |                                      ^
1663:info:build ltdl.c:1489:4: note: '{' token is here
1664:info:build  1489 |           FREE (*phandle);
1665:info:build       |           ^~~~~~~~~~~~~~~
1666:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1667:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1668:info:build       |                                                               ^
1669:info:build ltdl.c:1489:4: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1670:info:build  1489 |           FREE (*phandle);
1671:info:build       |           ^~~~~~~~~~~~~~~
1672:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1673:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1674:info:build       |                                                                 ^
1675:info:build ltdl.c:1489:4: note: ')' token is here
1676:info:build  1489 |           FREE (*phandle);
1677:info:build       |           ^~~~~~~~~~~~~~~
1678:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1679:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1680:info:build       |                                                                   ^~~~~~~~~~~
1681:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1682:info:build    69 | #  define LT_STMT_END          )
1683:info:build       |                                ^
1684:info:build ltdl.c:1496:3: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1685:info:build  1496 |   MEMREASSIGN (*phandle, newhandle);
1686:info:build       |   ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1687:info:build libltdl/lt__alloc.h:43:29: note: expanded from macro 'MEMREASSIGN'
1688:info:build    43 | #define MEMREASSIGN(p, q)                       LT_STMT_START { \
1689:info:build       |                                                 ^~~~~~~~~~~~~
1690:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1691:info:build    68 | #  define LT_STMT_START        (void)(
1692:info:build       |                                      ^
1693:info:build ltdl.c:1496:3: note: '{' token is here
1694:info:build  1496 |   MEMREASSIGN (*phandle, newhandle);
1695:info:build       |   ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1696:info:build libltdl/lt__alloc.h:43:43: note: expanded from macro 'MEMREASSIGN'
1697:info:build    43 | #define MEMREASSIGN(p, q)                       LT_STMT_START { \
1698:info:build       |                                                               ^
1699:info:build ltdl.c:1496:3: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1700:info:build  1496 |   MEMREASSIGN (*phandle, newhandle);
1701:info:build       |   ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1702:info:build libltdl/lt__alloc.h:45:9: note: expanded from macro 'MEMREASSIGN'
1703:info:build    45 |                                                                 } LT_STMT_END
1704:info:build       |                                                                 ^
1705:info:build ltdl.c:1496:3: note: ')' token is here
1706:info:build  1496 |   MEMREASSIGN (*phandle, newhandle);
1707:info:build       |   ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1708:info:build libltdl/lt__alloc.h:45:11: note: expanded from macro 'MEMREASSIGN'
1709:info:build    45 |                                                                 } LT_STMT_END
1710:info:build       |                                                                   ^~~~~~~~~~~
1711:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1712:info:build    69 | #  define LT_STMT_END          )
1713:info:build       |                                ^
1714:info:build ltdl.c:1501:7: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1715:info:build  1501 |       MEMREASSIGN ((*phandle)->info.name, name);
1716:info:build       |       ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1717:info:build libltdl/lt__alloc.h:43:29: note: expanded from macro 'MEMREASSIGN'
1718:info:build    43 | #define MEMREASSIGN(p, q)                       LT_STMT_START { \
1719:info:build       |                                                 ^~~~~~~~~~~~~
1720:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1721:info:build    68 | #  define LT_STMT_START        (void)(
1722:info:build       |                                      ^
1723:info:build ltdl.c:1501:7: note: '{' token is here
1724:info:build  1501 |       MEMREASSIGN ((*phandle)->info.name, name);
1725:info:build       |       ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1726:info:build libltdl/lt__alloc.h:43:43: note: expanded from macro 'MEMREASSIGN'
1727:info:build    43 | #define MEMREASSIGN(p, q)                       LT_STMT_START { \
1728:info:build       |                                                               ^
1729:info:build ltdl.c:1501:7: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1730:info:build  1501 |       MEMREASSIGN ((*phandle)->info.name, name);
1731:info:build       |       ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1732:info:build libltdl/lt__alloc.h:45:9: note: expanded from macro 'MEMREASSIGN'
1733:info:build    45 |                                                                 } LT_STMT_END
1734:info:build       |                                                                 ^
1735:info:build ltdl.c:1501:7: note: ')' token is here
1736:info:build  1501 |       MEMREASSIGN ((*phandle)->info.name, name);
1737:info:build       |       ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1738:info:build libltdl/lt__alloc.h:45:11: note: expanded from macro 'MEMREASSIGN'
1739:info:build    45 |                                                                 } LT_STMT_END
1740:info:build       |                                                                   ^~~~~~~~~~~
1741:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1742:info:build    69 | #  define LT_STMT_END          )
1743:info:build       |                                ^
1744:info:build ltdl.c:1510:3: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1745:info:build  1510 |   FREE (dir);
1746:info:build       |   ^~~~~~~~~~
1747:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1748:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1749:info:build       |                                                 ^~~~~~~~~~~~~
1750:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1751:info:build    68 | #  define LT_STMT_START        (void)(
1752:info:build       |                                      ^
1753:info:build ltdl.c:1510:3: note: '{' token is here
1754:info:build  1510 |   FREE (dir);
1755:info:build       |   ^~~~~~~~~~
1756:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1757:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1758:info:build       |                                                               ^
1759:info:build ltdl.c:1510:3: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1760:info:build  1510 |   FREE (dir);
1761:info:build       |   ^~~~~~~~~~
1762:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1763:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1764:info:build       |                                                                 ^
1765:info:build ltdl.c:1510:3: note: ')' token is here
1766:info:build  1510 |   FREE (dir);
1767:info:build       |   ^~~~~~~~~~
1768:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1769:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1770:info:build       |                                                                   ^~~~~~~~~~~
1771:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1772:info:build    69 | #  define LT_STMT_END          )
1773:info:build       |                                ^
1774:info:build ltdl.c:1511:3: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1775:info:build  1511 |   FREE (attempt);
1776:info:build       |   ^~~~~~~~~~~~~~
1777:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1778:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1779:info:build       |                                                 ^~~~~~~~~~~~~
1780:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1781:info:build    68 | #  define LT_STMT_START        (void)(
1782:info:build       |                                      ^
1783:info:build ltdl.c:1511:3: note: '{' token is here
1784:info:build  1511 |   FREE (attempt);
1785:info:build       |   ^~~~~~~~~~~~~~
1786:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1787:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1788:info:build       |                                                               ^
1789:info:build ltdl.c:1511:3: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1790:info:build  1511 |   FREE (attempt);
1791:info:build       |   ^~~~~~~~~~~~~~
1792:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1793:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1794:info:build       |                                                                 ^
1795:info:build ltdl.c:1511:3: note: ')' token is here
1796:info:build  1511 |   FREE (attempt);
1797:info:build       |   ^~~~~~~~~~~~~~
1798:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1799:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1800:info:build       |                                                                   ^~~~~~~~~~~
1801:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1802:info:build    69 | #  define LT_STMT_END          )
1803:info:build       |                                ^
1804:info:build ltdl.c:1512:3: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1805:info:build  1512 |   FREE (name);
1806:info:build       |   ^~~~~~~~~~~
1807:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1808:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1809:info:build       |                                                 ^~~~~~~~~~~~~
1810:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1811:info:build    68 | #  define LT_STMT_START        (void)(
1812:info:build       |                                      ^
1813:info:build ltdl.c:1512:3: note: '{' token is here
1814:info:build  1512 |   FREE (name);
1815:info:build       |   ^~~~~~~~~~~
1816:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1817:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1818:info:build       |                                                               ^
1819:info:build ltdl.c:1512:3: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1820:info:build  1512 |   FREE (name);
1821:info:build       |   ^~~~~~~~~~~
1822:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1823:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1824:info:build       |                                                                 ^
1825:info:build ltdl.c:1512:3: note: ')' token is here
1826:info:build  1512 |   FREE (name);
1827:info:build       |   ^~~~~~~~~~~
1828:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1829:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1830:info:build       |                                                                   ^~~~~~~~~~~
1831:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1832:info:build    69 | #  define LT_STMT_END          )
1833:info:build       |                                ^
1834:info:build ltdl.c:1514:5: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1835:info:build  1514 |     FREE (base_name);
1836:info:build       |     ^~~~~~~~~~~~~~~~
1837:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1838:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1839:info:build       |                                                 ^~~~~~~~~~~~~
1840:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1841:info:build    68 | #  define LT_STMT_START        (void)(
1842:info:build       |                                      ^
1843:info:build ltdl.c:1514:5: note: '{' token is here
1844:info:build  1514 |     FREE (base_name);
1845:info:build       |     ^~~~~~~~~~~~~~~~
1846:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1847:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1848:info:build       |                                                               ^
1849:info:build ltdl.c:1514:5: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1850:info:build  1514 |     FREE (base_name);
1851:info:build       |     ^~~~~~~~~~~~~~~~
1852:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1853:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1854:info:build       |                                                                 ^
1855:info:build ltdl.c:1514:5: note: ')' token is here
1856:info:build  1514 |     FREE (base_name);
1857:info:build       |     ^~~~~~~~~~~~~~~~
1858:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1859:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1860:info:build       |                                                                   ^~~~~~~~~~~
1861:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1862:info:build    69 | #  define LT_STMT_END          )
1863:info:build       |                                ^
1864:info:build ltdl.c:1515:3: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1865:info:build  1515 |   FREE (canonical);
1866:info:build       |   ^~~~~~~~~~~~~~~~
1867:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1868:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1869:info:build       |                                                 ^~~~~~~~~~~~~
1870:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1871:info:build    68 | #  define LT_STMT_START        (void)(
1872:info:build       |                                      ^
1873:info:build ltdl.c:1515:3: note: '{' token is here
1874:info:build  1515 |   FREE (canonical);
1875:info:build       |   ^~~~~~~~~~~~~~~~
1876:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1877:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1878:info:build       |                                                               ^
1879:info:build ltdl.c:1515:3: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1880:info:build  1515 |   FREE (canonical);
1881:info:build       |   ^~~~~~~~~~~~~~~~
1882:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1883:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1884:info:build       |                                                                 ^
1885:info:build ltdl.c:1515:3: note: ')' token is here
1886:info:build  1515 |   FREE (canonical);
1887:info:build       |   ^~~~~~~~~~~~~~~~
1888:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1889:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1890:info:build       |                                                                   ^~~~~~~~~~~
1891:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1892:info:build    69 | #  define LT_STMT_END          )
1893:info:build       |                                ^
1894:info:build ltdl.c:1516:3: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1895:info:build  1516 |   FREE (archive_name);
1896:info:build       |   ^~~~~~~~~~~~~~~~~~~
1897:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1898:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1899:info:build       |                                                 ^~~~~~~~~~~~~
1900:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1901:info:build    68 | #  define LT_STMT_START        (void)(
1902:info:build       |                                      ^
1903:info:build ltdl.c:1516:3: note: '{' token is here
1904:info:build  1516 |   FREE (archive_name);
1905:info:build       |   ^~~~~~~~~~~~~~~~~~~
1906:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1907:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1908:info:build       |                                                               ^
1909:info:build ltdl.c:1516:3: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1910:info:build  1516 |   FREE (archive_name);
1911:info:build       |   ^~~~~~~~~~~~~~~~~~~
1912:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1913:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1914:info:build       |                                                                 ^
1915:info:build ltdl.c:1516:3: note: ')' token is here
1916:info:build  1516 |   FREE (archive_name);
1917:info:build       |   ^~~~~~~~~~~~~~~~~~~
1918:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1919:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1920:info:build       |                                                                   ^~~~~~~~~~~
1921:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1922:info:build    69 | #  define LT_STMT_END          )
1923:info:build       |                                ^
1924:info:build ltdl.c:1578:5: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1925:info:build  1578 |     FREE(*padvise);
1926:info:build       |     ^~~~~~~~~~~~~~
1927:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1928:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1929:info:build       |                                                 ^~~~~~~~~~~~~
1930:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1931:info:build    68 | #  define LT_STMT_START        (void)(
1932:info:build       |                                      ^
1933:info:build ltdl.c:1578:5: note: '{' token is here
1934:info:build  1578 |     FREE(*padvise);
1935:info:build       |     ^~~~~~~~~~~~~~
1936:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1937:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1938:info:build       |                                                               ^
1939:info:build ltdl.c:1578:5: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1940:info:build  1578 |     FREE(*padvise);
1941:info:build       |     ^~~~~~~~~~~~~~
1942:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1943:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1944:info:build       |                                                                 ^
1945:info:build ltdl.c:1578:5: note: ')' token is here
1946:info:build  1578 |     FREE(*padvise);
1947:info:build       |     ^~~~~~~~~~~~~~
1948:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1949:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1950:info:build       |                                                                   ^~~~~~~~~~~
1951:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1952:info:build    69 | #  define LT_STMT_END          )
1953:info:build       |                                ^
1954:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -DLTDLOPEN=libltdlc "-DLT_CONFIG_H=<config.h>" -DLTDL -I. -I. -Ilibltdl -I./libltdl -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT libltdlc_la-slist.lo -MD -MP -MF .deps/libltdlc_la-slist.Tpo -c slist.c -o libltdlc_la-slist.o >/dev/null 2>&1
1955:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -DLTDLOPEN=libltdlc "-DLT_CONFIG_H=<config.h>" -DLTDL -I. -I. -Ilibltdl -I./libltdl -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT libltdlc_la-lt_dlloader.lo -MD -MP -MF .deps/libltdlc_la-lt_dlloader.Tpo -c lt_dlloader.c -o libltdlc_la-lt_dlloader.o >/dev/null 2>&1
1956:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -DLTDLOPEN=libltdlc "-DLT_CONFIG_H=<config.h>" -DLTDL -I. -I. -Ilibltdl -I./libltdl -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT libltdlc_la-lt__alloc.lo -MD -MP -MF .deps/libltdlc_la-lt__alloc.Tpo -c lt__alloc.c -o libltdlc_la-lt__alloc.o >/dev/null 2>&1
1957:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. "-DLT_CONFIG_H=<config.h>" -DLTDL -I. -I. -Ilibltdl -I./libltdl -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT lt__argz.lo -MD -MP -MF .deps/lt__argz.Tpo -c lt__argz.c -o lt__argz.o >/dev/null 2>&1
1958:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -DLTDLOPEN=libltdlc "-DLT_CONFIG_H=<config.h>" -DLTDL -I. -I. -Ilibltdl -I./libltdl -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT libltdlc_la-lt_error.lo -MD -MP -MF .deps/libltdlc_la-lt_error.Tpo -c lt_error.c -o libltdlc_la-lt_error.o >/dev/null 2>&1
1959:info:build ltdl.c:1829:3: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1960:info:build  1829 |   FREE (buf);
1961:info:build       |   ^~~~~~~~~~
1962:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1963:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1964:info:build       |                                                 ^~~~~~~~~~~~~
1965:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1966:info:build    68 | #  define LT_STMT_START        (void)(
1967:info:build       |                                      ^
1968:info:build ltdl.c:1829:3: note: '{' token is here
1969:info:build  1829 |   FREE (buf);
1970:info:build       |   ^~~~~~~~~~
1971:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
1972:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1973:info:build       |                                                               ^
1974:info:build ltdl.c:1829:3: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1975:info:build  1829 |   FREE (buf);
1976:info:build       |   ^~~~~~~~~~
1977:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
1978:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1979:info:build       |                                                                 ^
1980:info:build ltdl.c:1829:3: note: ')' token is here
1981:info:build  1829 |   FREE (buf);
1982:info:build       |   ^~~~~~~~~~
1983:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
1984:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
1985:info:build       |                                                                   ^~~~~~~~~~~
1986:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
1987:info:build    69 | #  define LT_STMT_END          )
1988:info:build       |                                ^
1989:info:build ltdl.c:1891:3: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
1990:info:build  1891 |   FREE (argz);
1991:info:build       |   ^~~~~~~~~~~
1992:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
1993:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
1994:info:build       |                                                 ^~~~~~~~~~~~~
1995:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
1996:info:build    68 | #  define LT_STMT_START        (void)(
1997:info:build       |                                      ^
1998:info:build ltdl.c:1891:3: note: '{' token is here
1999:info:build  1891 |   FREE (argz);
2000:info:build       |   ^~~~~~~~~~~
2001:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
2002:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2003:info:build       |                                                               ^
2004:info:build ltdl.c:1891:3: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2005:info:build  1891 |   FREE (argz);
2006:info:build       |   ^~~~~~~~~~~
2007:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
2008:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2009:info:build       |                                                                 ^
2010:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. "-DLT_CONFIG_H=<config.h>" -DLTDL -I. -I. -Ilibltdl -I./libltdl -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT loaders/dlopen.lo -MD -MP -MF loaders/.deps/dlopen.Tpo -c loaders/dlopen.c -o loaders/dlopen.o >/dev/null 2>&1
2011:info:build ltdl.c:1891:3: note: ')' token is here
2012:info:build  1891 |   FREE (argz);
2013:info:build       |   ^~~~~~~~~~~
2014:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
2015:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2016:info:build       |                                                                   ^~~~~~~~~~~
2017:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
2018:info:build    69 | #  define LT_STMT_END          )
2019:info:build       |                                ^
2020:info:build ltdl.c:1937:20: warning: use of logical '&&' with constant operand [-Wconstant-logical-operand]
2021:info:build  1937 |       if (!is_done && *sys_dlsearch_path)
2022:info:build       |                    ^  ~~~~~~~~~~~~~~~~~~
2023:info:build ltdl.c:1937:20: note: use '&' for a bitwise operation
2024:info:build  1937 |       if (!is_done && *sys_dlsearch_path)
2025:info:build       |                    ^~
2026:info:build       |                    &
2027:info:build ltdl.c:1937:20: note: remove constant to silence this warning
2028:info:build  1937 |       if (!is_done && *sys_dlsearch_path)
2029:info:build       |                    ^~~~~~~~~~~~~~~~~~~~~
2030:info:build 2 warnings generated.
2031:info:build ltdl.c:1993:7: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2032:info:build  1993 |       FREE (cur->interface_data);
2033:info:build       |       ^~~~~~~~~~~~~~~~~~~~~~~~~~
2034:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
2035:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2036:info:build       |                                                 ^~~~~~~~~~~~~
2037:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
2038:info:build    68 | #  define LT_STMT_START        (void)(
2039:info:build       |                                      ^
2040:info:build ltdl.c:1993:7: note: '{' token is here
2041:info:build  1993 |       FREE (cur->interface_data);
2042:info:build       |       ^~~~~~~~~~~~~~~~~~~~~~~~~~
2043:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
2044:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2045:info:build       |                                                               ^
2046:info:build ltdl.c:1993:7: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2047:info:build  1993 |       FREE (cur->interface_data);
2048:info:build       |       ^~~~~~~~~~~~~~~~~~~~~~~~~~
2049:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
2050:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2051:info:build       |                                                                 ^
2052:info:build ltdl.c:1993:7: note: ')' token is here
2053:info:build  1993 |       FREE (cur->interface_data);
2054:info:build       |       ^~~~~~~~~~~~~~~~~~~~~~~~~~
2055:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
2056:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2057:info:build       |                                                                   ^~~~~~~~~~~
2058:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
2059:info:build    69 | #  define LT_STMT_END          )
2060:info:build       |                                ^
2061:info:build ltdl.c:1995:7: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2062:info:build  1995 |       FREE (cur->info.filename);
2063:info:build       |       ^~~~~~~~~~~~~~~~~~~~~~~~~
2064:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
2065:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2066:info:build       |                                                 ^~~~~~~~~~~~~
2067:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
2068:info:build    68 | #  define LT_STMT_START        (void)(
2069:info:build       |                                      ^
2070:info:build ltdl.c:1995:7: note: '{' token is here
2071:info:build  1995 |       FREE (cur->info.filename);
2072:info:build       |       ^~~~~~~~~~~~~~~~~~~~~~~~~
2073:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
2074:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2075:info:build       |                                                               ^
2076:info:build ltdl.c:1995:7: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2077:info:build  1995 |       FREE (cur->info.filename);
2078:info:build       |       ^~~~~~~~~~~~~~~~~~~~~~~~~
2079:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
2080:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2081:info:build       |                                                                 ^
2082:info:build ltdl.c:1995:7: note: ')' token is here
2083:info:build  1995 |       FREE (cur->info.filename);
2084:info:build       |       ^~~~~~~~~~~~~~~~~~~~~~~~~
2085:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
2086:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2087:info:build       |                                                                   ^~~~~~~~~~~
2088:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
2089:info:build    69 | #  define LT_STMT_END          )
2090:info:build       |                                ^
2091:info:build ltdl.c:1996:7: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2092:info:build  1996 |       FREE (cur->info.name);
2093:info:build       |       ^~~~~~~~~~~~~~~~~~~~~
2094:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
2095:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2096:info:build       |                                                 ^~~~~~~~~~~~~
2097:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
2098:info:build    68 | #  define LT_STMT_START        (void)(
2099:info:build       |                                      ^
2100:info:build ltdl.c:1996:7: note: '{' token is here
2101:info:build  1996 |       FREE (cur->info.name);
2102:info:build       |       ^~~~~~~~~~~~~~~~~~~~~
2103:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
2104:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2105:info:build       |                                                               ^
2106:info:build ltdl.c:1996:7: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2107:info:build  1996 |       FREE (cur->info.name);
2108:info:build       |       ^~~~~~~~~~~~~~~~~~~~~
2109:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
2110:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2111:info:build       |                                                                 ^
2112:info:build ltdl.c:1996:7: note: ')' token is here
2113:info:build  1996 |       FREE (cur->info.name);
2114:info:build       |       ^~~~~~~~~~~~~~~~~~~~~
2115:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
2116:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2117:info:build       |                                                                   ^~~~~~~~~~~
2118:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
2119:info:build    69 | #  define LT_STMT_END          )
2120:info:build       |                                ^
2121:info:build ltdl.c:1997:7: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2122:info:build  1997 |       FREE (cur);
2123:info:build       |       ^~~~~~~~~~
2124:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
2125:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2126:info:build       |                                                 ^~~~~~~~~~~~~
2127:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
2128:info:build    68 | #  define LT_STMT_START        (void)(
2129:info:build       |                                      ^
2130:info:build ltdl.c:1997:7: note: '{' token is here
2131:info:build  1997 |       FREE (cur);
2132:info:build       |       ^~~~~~~~~~
2133:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
2134:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2135:info:build       |                                                               ^
2136:info:build ltdl.c:1997:7: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2137:info:build  1997 |       FREE (cur);
2138:info:build       |       ^~~~~~~~~~
2139:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
2140:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2141:info:build       |                                                                 ^
2142:info:build ltdl.c:1997:7: note: ')' token is here
2143:info:build  1997 |       FREE (cur);
2144:info:build       |       ^~~~~~~~~~
2145:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
2146:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2147:info:build       |                                                                   ^~~~~~~~~~~
2148:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
2149:info:build    69 | #  define LT_STMT_END          )
2150:info:build       |                                ^
2151:info:build ltdl.c:2080:8: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2152:info:build  2080 |               FREE (sym);
2153:info:build       |               ^~~~~~~~~~
2154:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
2155:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2156:info:build       |                                                 ^~~~~~~~~~~~~
2157:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
2158:info:build    68 | #  define LT_STMT_START        (void)(
2159:info:build       |                                      ^
2160:info:build ltdl.c:2080:8: note: '{' token is here
2161:info:build  2080 |               FREE (sym);
2162:info:build       |               ^~~~~~~~~~
2163:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
2164:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2165:info:build       |                                                               ^
2166:info:build ltdl.c:2080:8: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2167:info:build  2080 |               FREE (sym);
2168:info:build       |               ^~~~~~~~~~
2169:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
2170:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2171:info:build       |                                                                 ^
2172:info:build ltdl.c:2080:8: note: ')' token is here
2173:info:build  2080 |               FREE (sym);
2174:info:build       |               ^~~~~~~~~~
2175:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
2176:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2177:info:build       |                                                                   ^~~~~~~~~~~
2178:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
2179:info:build    69 | #  define LT_STMT_END          )
2180:info:build       |                                ^
2181:info:build ltdl.c:2101:7: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2182:info:build  2101 |       FREE (sym);
2183:info:build       |       ^~~~~~~~~~
2184:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
2185:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2186:info:build       |                                                 ^~~~~~~~~~~~~
2187:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
2188:info:build    68 | #  define LT_STMT_START        (void)(
2189:info:build       |                                      ^
2190:info:build ltdl.c:2101:7: note: '{' token is here
2191:info:build  2101 |       FREE (sym);
2192:info:build       |       ^~~~~~~~~~
2193:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
2194:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2195:info:build       |                                                               ^
2196:info:build ltdl.c:2101:7: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2197:info:build  2101 |       FREE (sym);
2198:info:build       |       ^~~~~~~~~~
2199:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
2200:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2201:info:build       |                                                                 ^
2202:info:build ltdl.c:2101:7: note: ')' token is here
2203:info:build  2101 |       FREE (sym);
2204:info:build       |       ^~~~~~~~~~
2205:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
2206:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2207:info:build       |                                                                   ^~~~~~~~~~~
2208:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
2209:info:build    69 | #  define LT_STMT_END          )
2210:info:build       |                                ^
2211:info:build ltdl.c:2178:3: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2212:info:build  2178 |   MEMREASSIGN(*ppath, argz);
2213:info:build       |   ^~~~~~~~~~~~~~~~~~~~~~~~~
2214:info:build libltdl/lt__alloc.h:43:29: note: expanded from macro 'MEMREASSIGN'
2215:info:build    43 | #define MEMREASSIGN(p, q)                       LT_STMT_START { \
2216:info:build       |                                                 ^~~~~~~~~~~~~
2217:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
2218:info:build    68 | #  define LT_STMT_START        (void)(
2219:info:build       |                                      ^
2220:info:build ltdl.c:2178:3: note: '{' token is here
2221:info:build  2178 |   MEMREASSIGN(*ppath, argz);
2222:info:build       |   ^~~~~~~~~~~~~~~~~~~~~~~~~
2223:info:build libltdl/lt__alloc.h:43:43: note: expanded from macro 'MEMREASSIGN'
2224:info:build    43 | #define MEMREASSIGN(p, q)                       LT_STMT_START { \
2225:info:build       |                                                               ^
2226:info:build ltdl.c:2178:3: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2227:info:build  2178 |   MEMREASSIGN(*ppath, argz);
2228:info:build       |   ^~~~~~~~~~~~~~~~~~~~~~~~~
2229:info:build libltdl/lt__alloc.h:45:9: note: expanded from macro 'MEMREASSIGN'
2230:info:build    45 |                                                                 } LT_STMT_END
2231:info:build       |                                                                 ^
2232:info:build ltdl.c:2178:3: note: ')' token is here
2233:info:build  2178 |   MEMREASSIGN(*ppath, argz);
2234:info:build       |   ^~~~~~~~~~~~~~~~~~~~~~~~~
2235:info:build libltdl/lt__alloc.h:45:11: note: expanded from macro 'MEMREASSIGN'
2236:info:build    45 |                                                                 } LT_STMT_END
2237:info:build       |                                                                   ^~~~~~~~~~~
2238:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
2239:info:build    69 | #  define LT_STMT_END          )
2240:info:build       |                                ^
2241:info:build ltdl.c:2181:3: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2242:info:build  2181 |   FREE (argz);
2243:info:build       |   ^~~~~~~~~~~
2244:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
2245:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2246:info:build       |                                                 ^~~~~~~~~~~~~
2247:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
2248:info:build    68 | #  define LT_STMT_START        (void)(
2249:info:build       |                                      ^
2250:info:build ltdl.c:2181:3: note: '{' token is here
2251:info:build  2181 |   FREE (argz);
2252:info:build       |   ^~~~~~~~~~~
2253:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
2254:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2255:info:build       |                                                               ^
2256:info:build ltdl.c:2181:3: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2257:info:build  2181 |   FREE (argz);
2258:info:build       |   ^~~~~~~~~~~
2259:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
2260:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2261:info:build       |                                                                 ^
2262:info:build ltdl.c:2181:3: note: ')' token is here
2263:info:build  2181 |   FREE (argz);
2264:info:build       |   ^~~~~~~~~~~
2265:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
2266:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2267:info:build       |                                                                   ^~~~~~~~~~~
2268:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
2269:info:build    69 | #  define LT_STMT_END          )
2270:info:build       |                                ^
2271:info:build ltdl.c:2182:3: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2272:info:build  2182 |   FREE (canonical);
2273:info:build       |   ^~~~~~~~~~~~~~~~
2274:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
2275:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2276:info:build       |                                                 ^~~~~~~~~~~~~
2277:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
2278:info:build    68 | #  define LT_STMT_START        (void)(
2279:info:build       |                                      ^
2280:info:build ltdl.c:2182:3: note: '{' token is here
2281:info:build  2182 |   FREE (canonical);
2282:info:build       |   ^~~~~~~~~~~~~~~~
2283:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
2284:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2285:info:build       |                                                               ^
2286:info:build ltdl.c:2182:3: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2287:info:build  2182 |   FREE (canonical);
2288:info:build       |   ^~~~~~~~~~~~~~~~
2289:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
2290:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2291:info:build       |                                                                 ^
2292:info:build ltdl.c:2182:3: note: ')' token is here
2293:info:build  2182 |   FREE (canonical);
2294:info:build       |   ^~~~~~~~~~~~~~~~
2295:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
2296:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2297:info:build       |                                                                   ^~~~~~~~~~~
2298:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
2299:info:build    69 | #  define LT_STMT_END          )
2300:info:build       |                                ^
2301:info:build ltdl.c:2233:3: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2302:info:build  2233 |   FREE (user_search_path);
2303:info:build       |   ^~~~~~~~~~~~~~~~~~~~~~~
2304:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
2305:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2306:info:build       |                                                 ^~~~~~~~~~~~~
2307:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
2308:info:build    68 | #  define LT_STMT_START        (void)(
2309:info:build       |                                      ^
2310:info:build ltdl.c:2233:3: note: '{' token is here
2311:info:build  2233 |   FREE (user_search_path);
2312:info:build       |   ^~~~~~~~~~~~~~~~~~~~~~~
2313:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
2314:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2315:info:build       |                                                               ^
2316:info:build ltdl.c:2233:3: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2317:info:build  2233 |   FREE (user_search_path);
2318:info:build       |   ^~~~~~~~~~~~~~~~~~~~~~~
2319:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
2320:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2321:info:build       |                                                                 ^
2322:info:build ltdl.c:2233:3: note: ')' token is here
2323:info:build  2233 |   FREE (user_search_path);
2324:info:build       |   ^~~~~~~~~~~~~~~~~~~~~~~
2325:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
2326:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2327:info:build       |                                                                   ^~~~~~~~~~~
2328:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
2329:info:build    69 | #  define LT_STMT_END          )
2330:info:build       |                                ^
2331:info:build ltdl.c:2306:2: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2332:info:build  2306 |         FREE (interface_id);
2333:info:build       |         ^~~~~~~~~~~~~~~~~~~
2334:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
2335:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2336:info:build       |                                                 ^~~~~~~~~~~~~
2337:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
2338:info:build    68 | #  define LT_STMT_START        (void)(
2339:info:build       |                                      ^
2340:info:build ltdl.c:2306:2: note: '{' token is here
2341:info:build  2306 |         FREE (interface_id);
2342:info:build       |         ^~~~~~~~~~~~~~~~~~~
2343:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
2344:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2345:info:build       |                                                               ^
2346:info:build ltdl.c:2306:2: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2347:info:build  2306 |         FREE (interface_id);
2348:info:build       |         ^~~~~~~~~~~~~~~~~~~
2349:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
2350:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2351:info:build       |                                                                 ^
2352:info:build ltdl.c:2306:2: note: ')' token is here
2353:info:build  2306 |         FREE (interface_id);
2354:info:build       |         ^~~~~~~~~~~~~~~~~~~
2355:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
2356:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2357:info:build       |                                                                   ^~~~~~~~~~~
2358:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
2359:info:build    69 | #  define LT_STMT_END          )
2360:info:build       |                                ^
2361:info:build ltdl.c:2317:3: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2362:info:build  2317 |   FREE (interface_id->id_string);
2363:info:build       |   ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
2364:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
2365:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2366:info:build       |                                                 ^~~~~~~~~~~~~
2367:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
2368:info:build    68 | #  define LT_STMT_START        (void)(
2369:info:build       |                                      ^
2370:info:build ltdl.c:2317:3: note: '{' token is here
2371:info:build  2317 |   FREE (interface_id->id_string);
2372:info:build       |   ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
2373:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
2374:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2375:info:build       |                                                               ^
2376:info:build ltdl.c:2317:3: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2377:info:build  2317 |   FREE (interface_id->id_string);
2378:info:build       |   ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
2379:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
2380:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2381:info:build       |                                                                 ^
2382:info:build ltdl.c:2317:3: note: ')' token is here
2383:info:build  2317 |   FREE (interface_id->id_string);
2384:info:build       |   ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
2385:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
2386:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2387:info:build       |                                                                   ^~~~~~~~~~~
2388:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
2389:info:build    69 | #  define LT_STMT_END          )
2390:info:build       |                                ^
2391:info:build ltdl.c:2318:3: warning: '(' and '{' tokens introducing statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2392:info:build  2318 |   FREE (interface_id);
2393:info:build       |   ^~~~~~~~~~~~~~~~~~~
2394:info:build libltdl/lt__alloc.h:41:22: note: expanded from macro 'FREE'
2395:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2396:info:build       |                                                 ^~~~~~~~~~~~~
2397:info:build libltdl/lt_system.h:68:38: note: expanded from macro 'LT_STMT_START'
2398:info:build    68 | #  define LT_STMT_START        (void)(
2399:info:build       |                                      ^
2400:info:build ltdl.c:2318:3: note: '{' token is here
2401:info:build  2318 |   FREE (interface_id);
2402:info:build       |   ^~~~~~~~~~~~~~~~~~~
2403:info:build libltdl/lt__alloc.h:41:36: note: expanded from macro 'FREE'
2404:info:build    41 | #define FREE(mem)                               LT_STMT_START { \
2405:info:build       |                                                               ^
2406:info:build ltdl.c:2318:3: warning: '}' and ')' tokens terminating statement expression appear in different macro expansion contexts [-Wcompound-token-split-by-macro]
2407:info:build  2318 |   FREE (interface_id);
2408:info:build       |   ^~~~~~~~~~~~~~~~~~~
2409:info:build libltdl/lt__alloc.h:42:30: note: expanded from macro 'FREE'
2410:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2411:info:build       |                                                                 ^
2412:info:build ltdl.c:2318:3: note: ')' token is here
2413:info:build  2318 |   FREE (interface_id);
2414:info:build       |   ^~~~~~~~~~~~~~~~~~~
2415:info:build libltdl/lt__alloc.h:42:32: note: expanded from macro 'FREE'
2416:info:build    42 |         free (mem); mem = NULL;                                 } LT_STMT_END
2417:info:build       |                                                                   ^~~~~~~~~~~
2418:info:build libltdl/lt_system.h:69:32: note: expanded from macro 'LT_STMT_END'
2419:info:build    69 | #  define LT_STMT_END          )
2420:info:build       |                                ^
2421:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -DLTDLOPEN=libltdlc "-DLT_CONFIG_H=<config.h>" -DLTDL -I. -I. -Ilibltdl -I./libltdl -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT loaders/libltdlc_la-preopen.lo -MD -MP -MF loaders/.deps/libltdlc_la-preopen.Tpo -c loaders/preopen.c -o loaders/libltdlc_la-preopen.o >/dev/null 2>&1
2422:info:build mv -f .deps/libltdlc_la-lt_error.Tpo .deps/libltdlc_la-lt_error.Plo
2423:info:build mv -f .deps/libltdlc_la-lt_dlloader.Tpo .deps/libltdlc_la-lt_dlloader.Plo
2424:info:build mv -f .deps/libltdlc_la-slist.Tpo .deps/libltdlc_la-slist.Plo
2425:info:build mv -f .deps/libltdlc_la-lt__alloc.Tpo .deps/libltdlc_la-lt__alloc.Plo
2426:info:build /bin/sh ./libtool  --tag=CC   --mode=link /usr/bin/clang  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -module -avoid-version -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -o dlopen.la  loaders/dlopen.lo 
2427:info:build mv -f loaders/.deps/libltdlc_la-preopen.Tpo loaders/.deps/libltdlc_la-preopen.Plo
2428:info:build 104 warnings generated.
2429:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -DLTDLOPEN=libltdlc "-DLT_CONFIG_H=<config.h>" -DLTDL -I. -I. -Ilibltdl -I./libltdl -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT libltdlc_la-ltdl.lo -MD -MP -MF .deps/libltdlc_la-ltdl.Tpo -c ltdl.c -o libltdlc_la-ltdl.o >/dev/null 2>&1
2430:info:build libtool: link: ar cr .libs/dlopen.a loaders/.libs/dlopen.o
2431:info:build libtool: link: ranlib .libs/dlopen.a
2432:info:build libtool: link: ( cd ".libs" && rm -f "dlopen.la" && ln -s "../dlopen.la" "dlopen.la" )
2433:info:build mv -f .deps/libltdlc_la-ltdl.Tpo .deps/libltdlc_la-ltdl.Plo
2434:info:build /bin/sh ./libtool  --tag=CC   --mode=link /usr/bin/clang  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -no-undefined -dlpreopen dlopen.la  -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -o libltdlc.la  loaders/libltdlc_la-preopen.lo libltdlc_la-lt__alloc.lo libltdlc_la-lt_dlloader.lo libltdlc_la-lt_error.lo libltdlc_la-ltdl.lo libltdlc_la-slist.lo lt__argz.lo
2435:info:build libtool: link: rm -f .libs/libltdlc.nm .libs/libltdlc.nmS .libs/libltdlc.nmT
2436:info:build libtool: link: rm -f ".libs/libltdlc.nmI"
2437:info:build libtool: link: (cd .libs && /usr/bin/clang -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -c -fno-builtin -fno-rtti -fno-exceptions  -fno-common -DPIC "libltdlcS.c")
2438:info:build libtool: link: rm -f ".libs/libltdlcS.c" ".libs/libltdlc.nm" ".libs/libltdlc.nmS" ".libs/libltdlc.nmT" ".libs/libltdlc.nmI"
2439:info:build libtool: link: (cd .libs/libltdlc.lax/dlopen.a && ar x "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/libltdl/./.libs/dlopen.a")
2440:info:build libtool: link: ar cr .libs/libltdlc.a loaders/.libs/libltdlc_la-preopen.o .libs/libltdlc_la-lt__alloc.o .libs/libltdlc_la-lt_dlloader.o .libs/libltdlc_la-lt_error.o .libs/libltdlc_la-ltdl.o .libs/libltdlc_la-slist.o .libs/lt__argz.o .libs/libltdlcS.o  .libs/libltdlc.lax/dlopen.a/dlopen.o
2441:info:build libtool: link: ranlib .libs/libltdlc.a
2442:info:build libtool: link: rm -fr .libs/libltdlc.lax
2443:info:build libtool: link: ( cd ".libs" && rm -f "libltdlc.la" && ln -s "../libltdlc.la" "libltdlc.la" )
2444:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/libltdl'
2445:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/libltdl'
2446:info:build Making all in lib
2447:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib'
2448:info:build Making all in cdt
2449:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/cdt'
2450:info:build depbase=`echo dtclose.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2451:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtclose.lo -MD -MP -MF $depbase.Tpo -c -o dtclose.lo dtclose.c &&\
2452:info:build     mv -f $depbase.Tpo $depbase.Plo
2453:info:build depbase=`echo dtdisc.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2454:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtdisc.lo -MD -MP -MF $depbase.Tpo -c -o dtdisc.lo dtdisc.c &&\
2455:info:build     mv -f $depbase.Tpo $depbase.Plo
2456:info:build depbase=`echo dtextract.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2457:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtextract.lo -MD -MP -MF $depbase.Tpo -c -o dtextract.lo dtextract.c &&\
2458:info:build     mv -f $depbase.Tpo $depbase.Plo
2459:info:build depbase=`echo dtflatten.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2460:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtflatten.lo -MD -MP -MF $depbase.Tpo -c -o dtflatten.lo dtflatten.c &&\
2461:info:build     mv -f $depbase.Tpo $depbase.Plo
2462:info:build depbase=`echo dthash.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2463:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dthash.lo -MD -MP -MF $depbase.Tpo -c -o dthash.lo dthash.c &&\
2464:info:build     mv -f $depbase.Tpo $depbase.Plo
2465:info:build depbase=`echo dtlist.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2466:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtlist.lo -MD -MP -MF $depbase.Tpo -c -o dtlist.lo dtlist.c &&\
2467:info:build     mv -f $depbase.Tpo $depbase.Plo
2468:info:build depbase=`echo dtmethod.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2469:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtmethod.lo -MD -MP -MF $depbase.Tpo -c -o dtmethod.lo dtmethod.c &&\
2470:info:build     mv -f $depbase.Tpo $depbase.Plo
2471:info:build depbase=`echo dtopen.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2472:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtopen.lo -MD -MP -MF $depbase.Tpo -c -o dtopen.lo dtopen.c &&\
2473:info:build     mv -f $depbase.Tpo $depbase.Plo
2474:info:build depbase=`echo dtrenew.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2475:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtrenew.lo -MD -MP -MF $depbase.Tpo -c -o dtrenew.lo dtrenew.c &&\
2476:info:build     mv -f $depbase.Tpo $depbase.Plo
2477:info:build depbase=`echo dtrestore.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2478:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtrestore.lo -MD -MP -MF $depbase.Tpo -c -o dtrestore.lo dtrestore.c &&\
2479:info:build     mv -f $depbase.Tpo $depbase.Plo
2480:info:build depbase=`echo dtsize.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2481:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtsize.lo -MD -MP -MF $depbase.Tpo -c -o dtsize.lo dtsize.c &&\
2482:info:build     mv -f $depbase.Tpo $depbase.Plo
2483:info:build depbase=`echo dtstat.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2484:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtstat.lo -MD -MP -MF $depbase.Tpo -c -o dtstat.lo dtstat.c &&\
2485:info:build     mv -f $depbase.Tpo $depbase.Plo
2486:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtextract.lo -MD -MP -MF .deps/dtextract.Tpo -c dtextract.c  -fno-common -DPIC -o .libs/dtextract.o
2487:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtlist.lo -MD -MP -MF .deps/dtlist.Tpo -c dtlist.c  -fno-common -DPIC -o .libs/dtlist.o
2488:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtclose.lo -MD -MP -MF .deps/dtclose.Tpo -c dtclose.c  -fno-common -DPIC -o .libs/dtclose.o
2489:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtsize.lo -MD -MP -MF .deps/dtsize.Tpo -c dtsize.c  -fno-common -DPIC -o .libs/dtsize.o
2490:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dthash.lo -MD -MP -MF .deps/dthash.Tpo -c dthash.c  -fno-common -DPIC -o .libs/dthash.o
2491:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtrenew.lo -MD -MP -MF .deps/dtrenew.Tpo -c dtrenew.c  -fno-common -DPIC -o .libs/dtrenew.o
2492:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtmethod.lo -MD -MP -MF .deps/dtmethod.Tpo -c dtmethod.c  -fno-common -DPIC -o .libs/dtmethod.o
2493:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtdisc.lo -MD -MP -MF .deps/dtdisc.Tpo -c dtdisc.c  -fno-common -DPIC -o .libs/dtdisc.o
2494:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtstat.lo -MD -MP -MF .deps/dtstat.Tpo -c dtstat.c  -fno-common -DPIC -o .libs/dtstat.o
2495:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtopen.lo -MD -MP -MF .deps/dtopen.Tpo -c dtopen.c  -fno-common -DPIC -o .libs/dtopen.o
2496:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtflatten.lo -MD -MP -MF .deps/dtflatten.Tpo -c dtflatten.c  -fno-common -DPIC -o .libs/dtflatten.o
2497:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtrestore.lo -MD -MP -MF .deps/dtrestore.Tpo -c dtrestore.c  -fno-common -DPIC -o .libs/dtrestore.o
2498:info:build dthash.c:28:34: warning: implicit conversion changes signedness: 'int' to 'unsigned long' [-Wsign-conversion]
2499:info:build    28 |         if (!(s = dt->memoryf(dt, olds, n * sizeof(Dtlink_t*), dt->disc)))
2500:info:build       |                                         ^ ~
2501:info:build dthash.c:40:17: warning: implicit conversion changes signedness: 'int' to 'unsigned int' [-Wsign-conversion]
2502:info:build    40 |                         if((is = s + HINDEX(n,t->hash)) == hs)
2503:info:build       |                                      ^~~~~~~~~~~~~~~~~
2504:info:build ../../lib/cdt/dthdr.h:29:30: note: expanded from macro 'HINDEX'
2505:info:build    29 | #define HINDEX(n,h)     ((h)&((n)-1))
2506:info:build       |                             ~ ~~~^~
2507:info:build dtrenew.c:37:25: warning: implicit conversion changes signedness: 'int' to 'unsigned int' [-Wsign-conversion]
2508:info:build    37 |         {       s dthash.c:126:25: warning: implicit conversion changes signedness: 'int' to 'unsigned int' [-Wsign-conversion]
2509:info:build   126 |                         s = dt->data->htab + HINDEX(dt->data->ntab,hsh);
2510:info:build       |                                              ^~~~~~~~~~~~~~~~~~~~~~~~~~
2511:info:build ../../lib/cdt/dthdr.h:29:30: note: expanded from macro 'HINDEX'
2512:info:build    29 | #define HINDEX(n,h)     ((h)&((n)-1))
2513:info:build       |                             ~ ~~~^~
2514:info:build dthash.c:134:29: warning: implicit conversion changes signedness: 'int' to 'unsigned int' [-Wsign-conversion]
2515:info:build   134 |                                 *(s = dt->data->htab + HINDEX(dt->data->ntab,hsh));
2516:info:build       |                                                        ^~~~~~~~~~~~~~~~~~~~~~~~~~
2517:info:build ../../lib/cdt/dthdr.h:29:30: note: expanded from macro 'HINDEX'
2518:info:build    29 | #define HINDEX(n,h)     ((h)&((n)-1))
2519:info:build       |                             ~ ~~~^~
2520:info:build dthash.c:194:24: warning: implicit conversion changes signedness: 'int' to 'unsigned int' [-Wsign-conversion]
2521:info:build   194 |                 s = dt->data->htab + HINDEX(dt->data->ntab,hsh);
2522:info:build       |                                      ^~~~~~~~~~~~~~~~~~~~~~~~~~
2523:info:build ../../lib/cdt/dthdr.h:29:30: note: expanded from macro 'HINDEX'
2524:info:build    29 | #define HINDEX(n,h)     ((h)&((n)-1))
2525:info:build       |                             ~ ~~~^~
2526:info:build = dt->data->htab + HINDEX(dt->data->ntab,e->hash);
2527:info:build       |                                      ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
2528:info:build ../../lib/cdt/dthdr.h:29:30: note: expanded from macro 'HINDEX'
2529:info:build    29 | #define HINDEX(n,h)     ((h)&((n)-1))
2530:info:build       |                             ~ ~~~^~
2531:info:build 1 warning generated.
2532:info:build depbase=`echo dtstrhash.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2533:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtstrhash.lo -MD -MP -MF $depbase.Tpo -c -o dtstrhash.lo dtstrhash.c &&\
2534:info:build     mv -f $depbase.Tpo $depbase.Plo
2535:info:build depbase=`echo dttree.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2536:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dttree.lo -MD -MP -MF $depbase.Tpo -c -o dttree.lo dttree.c &&\
2537:info:build     mv -f $depbase.Tpo $depbase.Plo
2538:info:build depbase=`echo dtview.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2539:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtview.lo -MD -MP -MF $depbase.Tpo -c -o dtview.lo dtview.c &&\
2540:info:build     mv -f $depbase.Tpo $depbase.Plo
2541:info:build depbase=`echo dtwalk.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2542:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtwalk.lo -MD -MP -MF $depbase.Tpo -c -o dtwalk.lo dtwalk.c &&\
2543:info:build     mv -f $depbase.Tpo $depbase.Plo
2544:info:build 5 warnings generated.
2545:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtview.lo -MD -MP -MF .deps/dtview.Tpo -c dtview.c  -fno-common -DPIC -o .libs/dtview.o
2546:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dttree.lo -MD -MP -MF .deps/dttree.Tpo -c dttree.c  -fno-common -DPIC -o .libs/dttree.o
2547:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtstrhash.lo -MD -MP -MF .deps/dtstrhash.Tpo -c dtstrhash.c  -fno-common -DPIC -o .libs/dtstrhash.o
2548:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT dtwalk.lo -MD -MP -MF .deps/dtwalk.Tpo -c dtwalk.c  -fno-common -DPIC -o .libs/dtwalk.o
2549:info:build /bin/sh ../../libtool  --tag=CC   --mode=link /usr/bin/clang  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -version-info "5:0:0" -no-undefined -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wl,-headerpad_max_install_names -o libcdt.la -rpath /opt/local/lib dtclose.lo dtdisc.lo dtextract.lo dtflatten.lo dthash.lo dtlist.lo dtmethod.lo dtopen.lo dtrenew.lo dtrestore.lo dtsize.lo dtstat.lo dtstrhash.lo dttree.lo dtview.lo dtwalk.lo 
2550:info:build /bin/sh ../../libtool  --tag=CC   --mode=link /usr/bin/clang  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall  -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wl,-headerpad_max_install_names -o libcdt_C.la  dtclose.lo dtdisc.lo dtextract.lo dtflatten.lo dthash.lo dtlist.lo dtmethod.lo dtopen.lo dtrenew.lo dtrestore.lo dtsize.lo dtstat.lo dtstrhash.lo dttree.lo dtview.lo dtwalk.lo 
2551:info:build libtool: link: /usr/bin/clang -dynamiclib  -o .libs/libcdt.5.dylib  .libs/dtclose.o .libs/dtdisc.o .libs/dtextract.o .libs/dtflatten.o .libs/dthash.o .libs/dtlist.o .libs/dtmethod.o .libs/dtopen.o .libs/dtrenew.o .libs/dtrestore.o .libs/dtsize.o .libs/dtstat.o .libs/dtstrhash.o .libs/dttree.o .libs/dtview.o .libs/dtwalk.o   -L/opt/local/lib  -Os -arch arm64 -Wl,-headerpad_max_install_names -Wl,-syslibroot -Wl,/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wl,-headerpad_max_install_names   -install_name  /opt/local/lib/libcdt.5.dylib -compatibility_version 6 -current_version 6.0
2552:info:build libtool: link: ar cr .libs/libcdt_C.a .libs/dtclose.o .libs/dtdisc.o .libs/dtextract.o .libs/dtflatten.o .libs/dthash.o .libs/dtlist.o .libs/dtmethod.o .libs/dtopen.o .libs/dtrenew.o .libs/dtrestore.o .libs/dtsize.o .libs/dtstat.o .libs/dtstrhash.o .libs/dttree.o .libs/dtview.o .libs/dtwalk.o
2553:info:build libtool: link: ranlib .libs/libcdt_C.a
2554:info:build libtool: link: ( cd ".libs" && rm -f "libcdt_C.la" && ln -s "../libcdt_C.la" "libcdt_C.la" )
2555:info:build libtool: link: (cd ".libs" && rm -f "libcdt.dylib" && ln -s "libcdt.5.dylib" "libcdt.dylib")
2556:info:build libtool: link: ( cd ".libs" && rm -f "libcdt.la" && ln -s "../libcdt.la" "libcdt.la" )
2557:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/cdt'
2558:info:build Making all in xdot
2559:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/xdot'
2560:info:build depbase=`echo xdot.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2561:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT xdot.lo -MD -MP -MF $depbase.Tpo -c -o xdot.lo xdot.c &&\
2562:info:build     mv -f $depbase.Tpo $depbase.Plo
2563:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT xdot.lo -MD -MP -MF .deps/xdot.Tpo -c xdot.c  -fno-common -DPIC -o .libs/xdot.o
2564:info:build xdot.c:882:35: warning: implicit conversion changes signedness: 'int' to 'size_t' (aka 'unsigned long') [-Wsign-conversion]
2565:info:build   882 |     stops = gv_calloc(clr->u.ring.n_stops, sizeof(stops[0]));
2566:info:build       |             ~~~~~~~~~ ~~~~~~~~~~~~^~~~~~~
2567:info:build xdot.c:886:18: warning: implicit conversion loses floating-point precision: 'double' to 'float' [-Wimplicit-float-conversion]
2568:info:build   886 |         stops[i].frac = d;
2569:info:build       |                       ~ ^
2570:info:build xdot.c:919:35: warning: implicit conversion changes signedness: 'int' to 'size_t' (aka 'unsigned long') [-Wsign-conversion]
2571:info:build   919 |     stops = gv_calloc(clr->u.ling.n_stops, sizeof(stops[0]));
2572:info:build       |             ~~~~~~~~~ ~~~~~~~~~~~~^~~~~~~
2573:info:build xdot.c:923:18: warning: implicit conversion loses floating-point precision: 'double' to 'float' [-Wimplicit-float-conversion]
2574:info:build   923 |         stops[i].frac = d;
2575:info:build       |                       ~ ^
2576:info:build 4 warnings generated.
2577:info:build /bin/sh ../../libtool  --tag=CC   --mode=link /usr/bin/clang  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -version-info "4:0:0" -no-undefined -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wl,-headerpad_max_install_names -o libxdot.la -rpath /opt/local/lib xdot.lo 
2578:info:build /bin/sh ../../libtool  --tag=CC   --mode=link /usr/bin/clang  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall  -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wl,-headerpad_max_install_names -o libxdot_C.la  xdot.lo 
2579:info:build libtool: link: ar cr .libs/libxdot_C.a .libs/xdot.o
2580:info:build libtool: link: /usr/bin/clang -dynamiclib  -o .libs/libxdot.4.dylib  .libs/xdot.o   -L/opt/local/lib  -Os -arch arm64 -Wl,-headerpad_max_install_names -Wl,-syslibroot -Wl,/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wl,-headerpad_max_install_names   -install_name  /opt/local/lib/libxdot.4.dylib -compatibility_version 5 -current_version 5.0
2581:info:build libtool: link: ranlib .libs/libxdot_C.a
2582:info:build libtool: link: ( cd ".libs" && rm -f "libxdot_C.la" && ln -s "../libxdot_C.la" "libxdot_C.la" )
2583:info:build libtool: link: (cd ".libs" && rm -f "libxdot.dylib" && ln -s "libxdot.4.dylib" "libxdot.dylib")
2584:info:build libtool: link: ( cd ".libs" && rm -f "libxdot.la" && ln -s "../libxdot.la" "libxdot.la" )
2585:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/xdot'
2586:info:build Making all in cgraph
2587:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/cgraph'
2588:info:build depbase=`echo agerror.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2589:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT agerror.lo -MD -MP -MF $depbase.Tpo -c -o agerror.lo agerror.c &&\
2590:info:build     mv -f $depbase.Tpo $depbase.Plo
2591:info:build depbase=`echo apply.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2592:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT apply.lo -MD -MP -MF $depbase.Tpo -c -o apply.lo apply.c &&\
2593:info:build     mv -f $depbase.Tpo $depbase.Plo
2594:info:build depbase=`echo attr.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2595:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT attr.lo -MD -MP -MF $depbase.Tpo -c -o attr.lo attr.c &&\
2596:info:build     mv -f $depbase.Tpo $depbase.Plo
2597:info:build depbase=`echo edge.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2598:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT edge.lo -MD -MP -MF $depbase.Tpo -c -o edge.lo edge.c &&\
2599:info:build     mv -f $depbase.Tpo $depbase.Plo
2600:info:build depbase=`echo graph.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2601:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT graph.lo -MD -MP -MF $depbase.Tpo -c -o graph.lo graph.c &&\
2602:info:build     mv -f $depbase.Tpo $depbase.Plo
2603:info:build depbase=`echo grammar.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2604:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT grammar.lo -MD -MP -MF $depbase.Tpo -c -o grammar.lo grammar.c &&\
2605:info:build     mv -f $depbase.Tpo $depbase.Plo
2606:info:build depbase=`echo id.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2607:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT id.lo -MD -MP -MF $depbase.Tpo -c -o id.lo id.c &&\
2608:info:build     mv -f $depbase.Tpo $depbase.Plo
2609:info:build depbase=`echo imap.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2610:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT imap.lo -MD -MP -MF $depbase.Tpo -c -o imap.lo imap.c &&\
2611:info:build     mv -f $depbase.Tpo $depbase.Plo
2612:info:build depbase=`echo io.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2613:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT io.lo -MD -MP -MF $depbase.Tpo -c -o io.lo io.c &&\
2614:info:build     mv -f $depbase.Tpo $depbase.Plo
2615:info:build depbase=`echo mem.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2616:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT mem.lo -MD -MP -MF $depbase.Tpo -c -o mem.lo mem.c &&\
2617:info:build     mv -f $depbase.Tpo $depbase.Plo
2618:info:build depbase=`echo node.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2619:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT node.lo -MD -MP -MF $depbase.Tpo -c -o node.lo node.c &&\
2620:info:build     mv -f $depbase.Tpo $depbase.Plo
2621:info:build depbase=`echo obj.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2622:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT obj.lo -MD -MP -MF $depbase.Tpo -c -o obj.lo obj.c &&\
2623:info:build     mv -f $depbase.Tpo $depbase.Plo
2624:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT edge.lo -MD -MP -MF .deps/edge.Tpo -c edge.c  -fno-common -DPIC -o .libs/edge.o
2625:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT mem.lo -MD -MP -MF .deps/mem.Tpo -c mem.c  -fno-common -DPIC -o .libs/mem.o
2626:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT imap.lo -MD -MP -MF .deps/imap.Tpo -c imap.c  -fno-common -DPIC -o .libs/imap.o
2627:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT obj.lo -MD -MP -MF .deps/obj.Tpo -c obj.c  -fno-common -DPIC -o .libs/obj.o
2628:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT attr.lo -MD -MP -MF .deps/attr.Tpo -c attr.c  -fno-common -DPIC -o .libs/attr.o
2629:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT apply.lo -MD -MP -MF .deps/apply.Tpo -c apply.c  -fno-common -DPIC -o .libs/apply.o
2630:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT agerror.lo -MD -MP -MF .deps/agerror.Tpo -c agerror.c  -fno-common -DPIC -o .libs/agerror.o
2631:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT graph.lo -MD -MP -MF .deps/graph.Tpo -c graph.c  -fno-common -DPIC -o .libs/graph.o
2632:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT id.lo -MD -MP -MF .deps/id.Tpo -c id.c  -fno-common -DPIC -o .libs/id.o
2633:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT io.lo -MD -MP -MF .deps/io.Tpo -c io.c  -fno-common -DPIC -o .libs/io.o
2634:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT grammar.lo -MD -MP -MF .deps/grammar.Tpo -c grammar.c  -fno-common -DPIC -o .libs/grammar.o
2635:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT node.lo -MD -MP -MF .deps/node.Tpo -c node.c  -fno-common -DPIC -o .libs/node.o
2636:info:build edge.c:450:16: warning: no previous prototype for function 'ageqedge' [-Wmissing-prototypes]
2637:info:build   450 | CGRAPH_API int ageqedge(Agedge_t * e, Agedge_t * f)
2638:info:build       |                ^
2639:info:build edge.c:450:12: note: declare 'static' if the function is not intended to be used outside of this translation unit
2640:info:build   450 | CGRAPH_API int ageqedge(Agedge_t * e, Agedge_t * f)
2641:info:build       |            ^
2642:info:build       |            static
2643:info:build edge.c:458:22: warning: no previous prototype for function 'agmkout' [-Wmissing-prototypes]
2644:info:build   458 | CGRAPH_API Agedge_t *agmkout(Agedge_t * e)
2645:info:build       |                      ^
2646:info:build edge.c:458:12: note: declare 'static' if the function is not intended to be used outside of this translation unit
2647:info:build   458 | CGRAPH_API Agedge_t *agmkout(Agedge_t * e)
2648:info:build       |            ^
2649:info:build       |            static
2650:info:build edge.c:466:22: warning: no previous prototype for function 'agmkin' [-Wmissing-prototypes]
2651:info:build   466 | CGRAPH_API Agedge_t *agmkin(Agedge_t * e)
2652:info:build       |                      ^
2653:info:build edge.c:466:12: note: declare 'static' if the function is not intended to be used outside of this translation unit
2654:info:build   466 | CGRAPH_API Agedge_t *agmkin(Agedge_t * e)
2655:info:build       |            ^
2656:info:build       |            static
2657:info:build edge.c:474:22: warning: no previous prototype for function 'agtail' [-Wmissing-prototypes]
2658:info:build   474 | CGRAPH_API Agnode_t *agtail(Agedge_t * e)
2659:info:build       |                      ^
2660:info:build edge.c:474:12: note: declare 'static' if the function is not intended to be used outside of this translation unit
2661:info:build   474 | CGRAPH_API Agnode_t *agtail(Agedge_t * e)
2662:info:build       |            ^
2663:info:build       |            static
2664:info:build edge.c:482:22: warning: no previous prototype for function 'aghead' [-Wmissing-prototypes]
2665:info:build   482 | CGRAPH_API Agnode_t *aghead(Agedge_t * e)
2666:info:build       |                      ^
2667:info:build edge.c:482:12: note: declare 'static' if the function is not intended to be used outside of this translation unit
2668:info:build   482 | CGRAPH_API Agnode_t *aghead(Agedge_t * e)
2669:info:build       |            ^
2670:info:build       |            static
2671:info:build edge.c:490:22: warning: no previous prototype for function 'agopp' [-Wmissing-prototypes]
2672:info:build   490 | CGRAPH_API Agedge_t *agopp(Agedge_t * e)
2673:info:build       |                      ^
2674:info:build edge.c:490:12: note: declare 'static' if the function is not intended to be used outside of this translation unit
2675:info:build   490 | CGRAPH_API Agedge_t *agopp(Agedge_t * e)
2676:info:build       |            ^
2677:info:build       |            static
2678:info:build depbase=`echo rec.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2679:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT rec.lo -MD -MP -MF $depbase.Tpo -c -o rec.lo rec.c &&\
2680:info:build     mv -f $depbase.Tpo $depbase.Plo
2681:info:build depbase=`echo refstr.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2682:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT refstr.lo -MD -MP -MF $depbase.Tpo -c -o refstr.lo refstr.c &&\
2683:info:build     mv -f $depbase.Tpo $depbase.Plo
2684:info:build depbase=`echo scan.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2685:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT scan.lo -MD -MP -MF $depbase.Tpo -c -o scan.lo scan.c &&\
2686:info:build     mv -f $depbase.Tpo $depbase.Plo
2687:info:build depbase=`echo subg.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2688:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT subg.lo -MD -MP -MF $depbase.Tpo -c -o subg.lo subg.c &&\
2689:info:build     mv -f $depbase.Tpo $depbase.Plo
2690:info:build depbase=`echo utils.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2691:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT utils.lo -MD -MP -MF $depbase.Tpo -c -o utils.lo utils.c &&\
2692:info:build     mv -f $depbase.Tpo $depbase.Plo
2693:info:build depbase=`echo write.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2694:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT write.lo -MD -MP -MF $depbase.Tpo -c -o write.lo write.c &&\
2695:info:build     mv -f $depbase.Tpo $depbase.Plo
2696:info:build 6 warnings generated.
2697:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT rec.lo -MD -MP -MF .deps/rec.Tpo -c rec.c  -fno-common -DPIC -o .libs/rec.o
2698:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT refstr.lo -MD -MP -MF .deps/refstr.Tpo -c refstr.c  -fno-common -DPIC -o .libs/refstr.o
2699:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT scan.lo -MD -MP -MF .deps/scan.Tpo -c scan.c  -fno-common -DPIC -o .libs/scan.o
2700:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT write.lo -MD -MP -MF .deps/write.Tpo -c write.c  -fno-common -DPIC -o .libs/write.o
2701:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT utils.lo -MD -MP -MF .deps/utils.Tpo -c utils.c  -fno-common -DPIC -o .libs/utils.o
2702:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I../../lib/cdt -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT subg.lo -MD -MP -MF .deps/subg.Tpo -c subg.c  -fno-common -DPIC -o .libs/subg.o
2703:info:build /bin/sh ../../libtool  --tag=CC   --mode=link /usr/bin/clang  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -version-info "6:0:0" -no-undefined -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wl,-headerpad_max_install_names -o libcgraph.la -rpath /opt/local/lib agerror.lo apply.lo attr.lo edge.lo graph.lo grammar.lo id.lo imap.lo io.lo mem.lo node.lo obj.lo rec.lo refstr.lo scan.lo subg.lo utils.lo write.lo ../../lib/cdt/libcdt.la
2704:info:build /bin/sh ../../libtool  --tag=CC   --mode=link /usr/bin/clang  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall  -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wl,-headerpad_max_install_names -o libcgraph_C.la  agerror.lo apply.lo attr.lo edge.lo graph.lo grammar.lo id.lo imap.lo io.lo mem.lo node.lo obj.lo rec.lo refstr.lo scan.lo subg.lo utils.lo write.lo 
2705:info:build libtool: link: ar cr .libs/libcgraph_C.a .libs/agerror.o .libs/apply.o .libs/attr.o .libs/edge.o .libs/graph.o .libs/grammar.o .libs/id.o .libs/imap.o .libs/io.o .libs/mem.o .libs/node.o .libs/obj.o .libs/rec.o .libs/refstr.o .libs/scan.o .libs/subg.o .libs/utils.o .libs/write.o
2706:info:build libtool: link: ranlib .libs/libcgraph_C.a
2707:info:build libtool: link: /usr/bin/clang -dynamiclib  -o .libs/libcgraph.6.dylib  .libs/agerror.o .libs/apply.o .libs/attr.o .libs/edge.o .libs/graph.o .libs/grammar.o .libs/id.o .libs/imap.o .libs/io.o .libs/mem.o .libs/node.o .libs/obj.o .libs/rec.o .libs/refstr.o .libs/scan.o .libs/subg.o .libs/utils.o .libs/write.o   -L/opt/local/lib ../../lib/cdt/.libs/libcdt.dylib  -Os -arch arm64 -Wl,-headerpad_max_install_names -Wl,-syslibroot -Wl,/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wl,-headerpad_max_install_names   -install_name  /opt/local/lib/libcgraph.6.dylib -compatibility_version 7 -current_version 7.0
2708:info:build libtool: link: ( cd ".libs" && rm -f "libcgraph_C.la" && ln -s "../libcgraph_C.la" "libcgraph_C.la" )
2709:info:build libtool: link: (cd ".libs" && rm -f "libcgraph.dylib" && ln -s "libcgraph.6.dylib" "libcgraph.dylib")
2710:info:build libtool: link: ( cd ".libs" && rm -f "libcgraph.la" && ln -s "../libcgraph.la" "libcgraph.la" )
2711:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/cgraph'
2712:info:build Making all in pathplan
2713:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/pathplan'
2714:info:build depbase=`echo cvt.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2715:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT cvt.lo -MD -MP -MF $depbase.Tpo -c -o cvt.lo cvt.c &&\
2716:info:build     mv -f $depbase.Tpo $depbase.Plo
2717:info:build depbase=`echo inpoly.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2718:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT inpoly.lo -MD -MP -MF $depbase.Tpo -c -o inpoly.lo inpoly.c &&\
2719:info:build     mv -f $depbase.Tpo $depbase.Plo
2720:info:build depbase=`echo route.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2721:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT route.lo -MD -MP -MF $depbase.Tpo -c -o route.lo route.c &&\
2722:info:build     mv -f $depbase.Tpo $depbase.Plo
2723:info:build depbase=`echo shortest.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2724:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT shortest.lo -MD -MP -MF $depbase.Tpo -c -o shortest.lo shortest.c &&\
2725:info:build     mv -f $depbase.Tpo $depbase.Plo
2726:info:build depbase=`echo shortestpth.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2727:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT shortestpth.lo -MD -MP -MF $depbase.Tpo -c -o shortestpth.lo shortestpth.c &&\
2728:info:build     mv -f $depbase.Tpo $depbase.Plo
2729:info:build depbase=`echo solvers.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2730:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT solvers.lo -MD -MP -MF $depbase.Tpo -c -o solvers.lo solvers.c &&\
2731:info:build     mv -f $depbase.Tpo $depbase.Plo
2732:info:build depbase=`echo triang.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2733:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT triang.lo -MD -MP -MF $depbase.Tpo -c -o triang.lo triang.c &&\
2734:info:build     mv -f $depbase.Tpo $depbase.Plo
2735:info:build depbase=`echo util.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2736:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT util.lo -MD -MP -MF $depbase.Tpo -c -o util.lo util.c &&\
2737:info:build     mv -f $depbase.Tpo $depbase.Plo
2738:info:build depbase=`echo visibility.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2739:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT visibility.lo -MD -MP -MF $depbase.Tpo -c -o visibility.lo visibility.c &&\
2740:info:build     mv -f $depbase.Tpo $depbase.Plo
2741:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT shortest.lo -MD -MP -MF .deps/shortest.Tpo -c shortest.c  -fno-common -DPIC -o .libs/shortest.o
2742:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT triang.lo -MD -MP -MF .deps/triang.Tpo -c triang.c  -fno-common -DPIC -o .libs/triang.o
2743:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT cvt.lo -MD -MP -MF .deps/cvt.Tpo -c cvt.c  -fno-common -DPIC -o .libs/cvt.o
2744:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT route.lo -MD -MP -MF .deps/route.Tpo -c route.c  -fno-common -DPIC -o .libs/route.o
2745:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT visibility.lo -MD -MP -MF .deps/visibility.Tpo -c visibility.c  -fno-common -DPIC -o .libs/visibility.o
2746:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT shortestpth.lo -MD -MP -MF .deps/shortestpth.Tpo -c shortestpth.c  -fno-common -DPIC -o .libs/shortestpth.o
2747:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT solvers.lo -MD -MP -MF .deps/solvers.Tpo -c solvers.c  -fno-common -DPIC -o .libs/solvers.o
2748:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT util.lo -MD -MP -MF .deps/util.Tpo -c util.c  -fno-common -DPIC -o .libs/util.o
2749:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT inpoly.lo -MD -MP -MF .deps/inpoly.Tpo -c inpoly.c  -fno-common -DPIC -o .libs/inpoly.o
2750:info:build util.c:33:30: warning: implicit conversion changes signedness: 'int' to 'size_t' (aka 'unsigned long') [-Wsign-conversion]
2751:info:build    33 |     Pedge_t *shortestpth.c:35:26: warning: implicit conversion changes signedness: 'int' to 'size_t' (aka 'unsigned long') [-Wsign-conversion]
2752:info:build    35 |     bint *dad ar = = gv_calglvo_cc(aVl,l osci(zne,o fs(iizneto)f)(;P
2753:info:build e      d|                ~~~~~~~~~ ^
2754:info:build ge_t));
2755:info:build       |                    ~~~~~~~~~ ^
2756:info:build shortestpth.c:36:29: warning: implicit conversion changes signedness: 'int' to 'size_t' (aka 'unsigned long') [-Wsign-conversion]
2757:info:build    36 |     COORD *vl = gv_calloc(V + 1, sizeof(COORD)); // One extra for sentinel
2758:info:build       |                 ~~~~~~~~~ ~~^~~
2759:info:build shortestpth.c:52:13: warning: comparing floating point with == or != is unsafe [-Wfloat-equal]
2760:info:build    52 |         if (val[k] == unseen)
2761:info:build       |             ~~~~~~ ^  ~~~~~~
2762:info:build shortestpth.c:67:12: warning: comparing floating point with == or != is unsafe [-Wfloat-equal]
2763:info:build route.c:326   :6719 | :                 warning: icomparing floating point with == or != is unsafe [-Wfloat-equal]f
2764:info:build  ((wkt != 0)   326& | &   ( v ailf[ t(]x c<o enfefw[p1r]i )=)=  {0
2765:info:build )       | {                     ~~~ ^  ~
2766:info:build       |         ~~~~~~~~~ ^  ~
2767:info:build route.c:327:16: warning: comparing floating point with == or != is unsafe [-Wfloat-equal]
2768:info:build   327 |         if (ycoeff[1] == 0) {
2769:info:build       |             ~~~~~~~~~ ^  ~
2770:info:build route.c:346:18: warning: comparing floating point with == or != is unsafe [-Wfloat-equal]
2771:info:build   346 |                         if (xroots[i] == yroots[j])
2772:info:build       |                             ~~~~~~~~~ ^  ~~~~~~~~~
2773:info:build shortestpth.c:97:25: warning: implicit conversion changes signedness: 'int' to 'size_t' (aka 'unsigned long') [-Wsign-conversion]
2774:info:build    97 |         int *dad = gv_calloc(V + 2, sizeof(int));
2775:info:build       |                    ~~~~~~~~~ ~~^~~
2776:info:build triang.c:49:24: warning: implicit conversion changes signedness: 'int' to 'size_t' (aka 'unsigned long') [-Wsign-conversion]
2777:info:build    49 |     pointp = gv_calloc(pointn, sizeof(Ppoint_t*));
2778:info:build       |              ~~~~~~~~~ ^~~~~~
2779:info:build shortest.c:129:15: warning: comparing floating point with == or != is unsafe [-Wfloat-equal]
2780:info:build   129 |     if ((p1.x == p2.x && p2.x == p3.x && p3.y > p2.y) ||
2781:info:build       |          ~~~~ ^  ~~~~
2782:info:build shortest.c:129:31: warning: comparing floating point with == or != is unsafe [-Wfloat-equal]
2783:info:build   129 |     if ((p1.x == p2.x && p2.x == p3.x && p3.y > p2.y) ||
2784:info:build       |                          ~~~~ ^  ~~~~
2785:info:build shortest.c:133:22: warning: comparing floating point with == or != is unsafe [-Wfloat-equal]
2786:info:build   133 |                 && polyp->ps[pi].x == polyp->ps[pi + 1].x
2787:info:build       |                    ~~~~~~~~~~~~~~~ ^  ~~~~~~~~~~~~~~~~~~~
2788:info:build shortest.c:134:22: warning: comparing floating point with == or != is unsafe [-Wfloat-equal]
2789:info:build   134 |                 && polyp->ps[pi].y == polyp->ps[pi + 1].y)
2790:info:build       |                    ~~~~~~~~~~~~~~~ ^  ~~~~~~~~~~~~~~~~~~~
2791:info:build shortest.c:143:36: warning: comparing floating point with == or != is unsafe [-Wfloat-equal]
2792:info:build   143 |             if (pi > 0 && polyp->ps[pi].x == polyp->ps[pi - 1].x &&
2793:info:build       |                           ~~~~~~~~~~~~~~~ ^  ~~~~~~~~~~~~~~~~~~~
2794:info:build shortest.c:144:19: warning: comparing floating point with == or != is unsafe [-Wfloat-equal]
2795:info:build   144 |                 polyp->ps[pi].y == polyp->ps[pi - 1].y)
2796:info:build       |                 ~~~~~~~~~~~~~~~ ^  ~~~~~~~~~~~~~~~~~~~
2797:info:build 5 warnings generated.
2798:info:build visibility.c:29:30: warning: implicit conversion changes signedness: 'int' to 'size_t' (aka 'unsigned long') [-Wsign-conversion]
2799:info:build    29 |     array2 arr = gv_calloc(V + extra, sizeof(COORD*));
2800:info:build       |                  ~~~~~~~~~ ~~^~~~~~~
2801:info:build visibility.c:67:13: warning: comparing floating point with == or != is unsafe [-Wfloat-equal]
2802:info:build    67 |     if (a.x != b.x)             /* not vertical */
2803:info:build       |         ~~~ ^  ~~~
2804:info:build visibility.c:256:31: warning: implicit conversion changes signedness: 'int' to 'size_t' (aka 'unsigned long') [-Wsign-conversion]
2805:info:build   256 |     COORD *vadj = gv_calloc(V + 2, sizeof(COORD));
2806:info:build       |                   ~~~~~~~~~ ~~^~~
2807:info:build 1 warning generated.
2808:info:build 1 warning generated.
2809:info:build 3 warnings generated.
2810:info:build 3 warnings generated.
2811:info:build 6 warnings generated.
2812:info:build /bin/sh ../../libtool  --tag=CC   --mode=link /usr/bin/clang  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -version-info "4:0:0" -no-undefined -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wl,-headerpad_max_install_names -o libpathplan.la -rpath /opt/local/lib cvt.lo inpoly.lo route.lo shortest.lo shortestpth.lo solvers.lo triang.lo util.lo visibility.lo -lm
2813:info:build /bin/sh ../../libtool  --tag=CC   --mode=link /usr/bin/clang  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall  -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wl,-headerpad_max_install_names -o libpathplan_C.la  cvt.lo inpoly.lo route.lo shortest.lo shortestpth.lo solvers.lo triang.lo util.lo visibility.lo 
2814:info:build libtool: link: ar cr .libs/libpathplan_C.a .libs/cvt.o .libs/inpoly.o .libs/route.o .libs/shortest.o .libs/shortestpth.o .libs/solvers.o .libs/triang.o .libs/util.o .libs/visibility.o
2815:info:build libtool: link: /usr/bin/clang -dynamiclib  -o .libs/libpathplan.4.dylib  .libs/cvt.o .libs/inpoly.o .libs/route.o .libs/shortest.o .libs/shortestpth.o .libs/solvers.o .libs/triang.o .libs/util.o .libs/visibility.o   -L/opt/local/lib -lm  -Os -arch arm64 -Wl,-headerpad_max_install_names -Wl,-syslibroot -Wl,/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wl,-headerpad_max_install_names   -install_name  /opt/local/lib/libpathplan.4.dylib -compatibility_version 5 -current_version 5.0
2816:info:build libtool: link: ranlib .libs/libpathplan_C.a
2817:info:build libtool: link: ( cd ".libs" && rm -f "libpathplan_C.la" && ln -s "../libpathplan_C.la" "libpathplan_C.la" )
2818:info:build libtool: link: (cd ".libs" && rm -f "libpathplan.dylib" && ln -s "libpathplan.4.dylib" "libpathplan.dylib")
2819:info:build libtool: link: ( cd ".libs" && rm -f "libpathplan.la" && ln -s "../libpathplan.la" "libpathplan.la" )
2820:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/pathplan'
2821:info:build Making all in sfio
2822:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/sfio'
2823:info:build Making all in Sfio_f
2824:info:build make[4]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/sfio/Sfio_f'
2825:info:build depbase=`echo _sfslen.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2826:info:build     /bin/sh ../../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../../..  -I../../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT _sfslen.lo -MD -MP -MF $depbase.Tpo -c -o _sfslen.lo _sfslen.c &&\
2827:info:build     mv -f $depbase.Tpo $depbase.Plo
2828:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../../.. -I../../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT _sfslen.lo -MD -MP -MF .deps/_sfslen.Tpo -c _sfslen.c  -fno-common -DPIC -o .libs/_sfslen.o
2829:info:build /bin/sh ../../../libtool  --tag=CC   --mode=link /usr/bin/clang  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall  -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wl,-headerpad_max_install_names -o libsfiof_C.la  _sfslen.lo 
2830:info:build libtool: link: ar cr .libs/libsfiof_C.a .libs/_sfslen.o
2831:info:build libtool: link: ranlib .libs/libsfiof_C.a
2832:info:build libtool: link: ( cd ".libs" && rm -f "libsfiof_C.la" && ln -s "../libsfiof_C.la" "libsfiof_C.la" )
2833:info:build make[4]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/sfio/Sfio_f'
2834:info:build make[4]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/sfio'
2835:info:build depbase=`echo sfcvt.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2836:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT sfcvt.lo -MD -MP -MF $depbase.Tpo -c -o sfcvt.lo sfcvt.c &&\
2837:info:build     mv -f $depbase.Tpo $depbase.Plo
2838:info:build depbase=`echo sfextern.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2839:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT sfextern.lo -MD -MP -MF $depbase.Tpo -c -o sfextern.lo sfextern.c &&\
2840:info:build     mv -f $depbase.Tpo $depbase.Plo
2841:info:build depbase=`echo sfscanf.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2842:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT sfscanf.lo -MD -MP -MF $depbase.Tpo -c -o sfscanf.lo sfscanf.c &&\
2843:info:build     mv -f $depbase.Tpo $depbase.Plo
2844:info:build depbase=`echo sftable.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2845:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT sftable.lo -MD -MP -MF $depbase.Tpo -c -o sftable.lo sftable.c &&\
2846:info:build     mv -f $depbase.Tpo $depbase.Plo
2847:info:build depbase=`echo sfprint.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2848:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT sfprint.lo -MD -MP -MF $depbase.Tpo -c -o sfprint.lo sfprint.c &&\
2849:info:build     mv -f $depbase.Tpo $depbase.Plo
2850:info:build depbase=`echo sfvscanf.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
2851:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT sfvscanf.lo -MD -MP -MF $depbase.Tpo -c -o sfvscanf.lo sfvscanf.c &&\
2852:info:build     mv -f $depbase.Tpo $depbase.Plo
2853:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT sfextern.lo -MD -MP -MF .deps/sfextern.Tpo -c sfextern.c  -fno-common -DPIC -o .libs/sfextern.o
2854:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT sfscanf.lo -MD -MP -MF .deps/sfscanf.Tpo -c sfscanf.c  -fno-common -DPIC -o .libs/sfscanf.o
2855:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT sftable.lo -MD -MP -MF .deps/sftable.Tpo -c sftable.c  -fno-common -DPIC -o .libs/sftable.o
2856:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT sfprint.lo -MD -MP -MF .deps/sfprint.Tpo -c sfprint.c  -fno-common -DPIC -o .libs/sfprint.o
2857:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT sfvscanf.lo -MD -MP -MF .deps/sfvscanf.Tpo -c sfvscanf.c  -fno-common -DPIC -o .libs/sfvscanf.o
2858:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT sfcvt.lo -MD -MP -MF .deps/sfcvt.Tpo -c sfcvt.c  -fno-common -DPIC -o .libs/sfcvt.o
2859:info:build sfvscanf.c:104:22: warning: cast from 'const char *' to 'char *' drops const qualifier [-Wcast-qual]
2860:info:build   104 |     oform = (char *) form;
2861:info:build       |                      ^
2862:info:build sftable.c:25:21: warning: cast from 'const char *' to 'char *' drops const qualifier [-Wcast-qual]
2863:info:build    25 |     return (char *) str;
2864:info:build       |                     ^
2865:info:build sfvscanf.c:179:19: warning: cast from 'const char *' to 'char *' drops const qualifier [-Wcast-qual]
2866:info:build   179 |                             FMTSET(ft, form, args,
2867:info:build       |                                        ^
2868:info:build sfvscanf.c:216:18: warning: cast from 'const char *' to 'char *' drops const qualifier [-Wcast-qual]
2869:info:build   216 |                     FMTSET(ft, form, args, '.', dot, 0, 0, 0, 0,
2870:info:build       |                                ^
2871:info:build sfprint.csfvscanf.c::111264::2018::  warning: warning: cast from 'const char *' to 'char *' drops const qualifier [-Wcast-qual]cast from 'const char *' to 'char *' drops const qualifier [-Wcast-qual]
2872:info:build     111264 |             sp |                      =F M(TcShar *) fEoTrm+(ft, fo+;
2873:info:build       |                           ^
2874:info:build rm, args, 'I', sizeof(int), 0, 0, 0, 0,
2875:info:build       |                                ^
2876:info:build sfprint.c:115:21: warning: implicit conversion changes signedness: 'int' to 'unsigned long' [-Wsign-conversion]
2877:info:build   115 |             SFwrite(f, sp, n);
2878:info:build       |             ~~~~~~~~~~~~~~~^~
2879:info:build sfprint.c:72:20: note: expanded from macro 'SFwrite'
2880:info:build    72 |   if (fwrite((s), (n), 1, (f)) < 1) { \
2881:info:build       |       ~~~~~~       ^
2882:info:build sfprint.c:162:19: warning: cast from 'const char *' to 'char *' drops const qualifier [-Wcast-qual]
2883:info:build   162 |                             FMTSET(ft, form, args,
2884:info:build       |                                        ^
2885:info:build sfvscanf.c:332:17: warning: cast from 'const char *' to 'char *' drops const qualifier [-Wcast-qual]
2886:info:build   332 |             FMTSET(ft, form, args, fmt, size, flags, width, 0, base, t_str,
2887:info:build       |                        ^
2888:info:build sfprint.c:242:14: warning: cast from 'const char *' to 'char *' drops const qualifier [-Wcast-qual]
2889:info:build   242 |                 FMTSET(ft, form, args, '.', dot, 0, 0, 0, 0, NULL,
2890:info:build       |                            ^
2891:info:build sfvscanf.c:362:27: warning: cast from 'const char *' to 'char *' drops const qualifier [-Wcast-qual]
2892:info:build   362 |                     fm->form = (char *) form;
2893:info:build       |                                         ^
2894:info:build sfprint.c:291:18: warning: cast from 'const char *' to 'char *' drops const qualifier [-Wcast-qual]
2895:info:build   291 |                     FMTSET(ft, form, args, 'I', sizeof(int), 0, 0, 0, 0,
2896:info:build       |                                ^
2897:info:build sfvscanf.c:414:16: warning: implicit conversion loses integer precision: 'int' to 'char' [-Wimplicit-int-conversion]
2898:info:build   414 |                     *val++ = inp;
2899:info:build       |                            ~ ^~~
2900:info:build sfprint.c:365:17: warning: cast from 'const char *' to 'char *' drops const qualifier [-Wcast-qual]
2901:info:build   365 |             FMTSET(ft, sfvscanf.cf:o423r:m16,:  awarning: rimplicit conversion loses integer precision: 'int' to 'char' [-Wimplicit-int-conversion]g
2902:info:build s, f  m423 |                     *val++ = inp;
2903:info:build       |                            ~ ^~~
2904:info:build t, size, flags, sfvscanf.c:w427:16: warning: implicit conversion loses integer precision: 'int' to 'char' [-Wimplicit-int-conversion]
2905:info:build   427 |                     *vali++ = inp;
2906:info:build       |                            ~ ^~~
2907:info:build sfvscanf.c:431:16: warning: implicit conversion loses integer precision: 'int' to 'char' [-Wimplicit-int-conversion]
2908:info:build d  431t |         h         ,   *val++ = in prep;
2909:info:build       |                            ~ ^~~
2910:info:build cis, base,
2911:info:build       |                        ^
2912:info:build sfvscanf.c:505:43: warning: implicit conversion changes signedness: 'int' to 'unsigned long long' [-Wsign-conversion]
2913:info:build   505 |                         (argv.lu << 3) + (argv.lu << 1) + (inp - '0');
2914:info:build       |                                                         ~  ~~~~^~~~~
2915:info:build sfprint.c:sfvscanf.c441::53618::35 :warning:  implicit conversion changes signedness: 'int' to 'unsigned long' [-Wsign-conversion]warning:
2916:info:build implicit conversion changes signedness: 'char' to 'unsigned long long' [-Wsign-conversion]
2917:info:build   536 |                         argv.lu = (argv.lu   441< | <                 sShFiwfrti)t e+( fs,p [sipn,p ]v;)
2918:info:build ;     
2919:info:build |                                                            ~ ^~~~~~~|
2920:info:build                 ~~~~~~~~~~~~~~~^~
2921:info:build sfprint.c:72:20: note: expanded from macro 'SFwrite'
2922:info:build sfvscanf.c:541:33: warning: implicit conversion changes signedness: 'char' to 'unsigned long long' [-Wsign-conversion]
2923:info:build   541 |                         argv.lu = (argv.lu * base) + sp[inp];
2924:info:build       |                                                    ~ ^~~~~~~
2925:info:build sfvscanf.c:541:25: warning: implicit conversion changes signedness: 'int' to 'unsigned long long' [-Wsign-conversion]
2926:info:build   541 |                         argv.lu = (argv.lu * base   ) 72+ sp[inp];
2927:info:build       |                                            ~ ^~~~
2928:info:build  |   if (fwrite((s), (n), 1, (f)) < 1) { \
2929:info:build       |       ~~~~~~       ^
2930:info:build sfprint.c:460:12: warning: implicit conversion loses integer precision: 'int' to 'char' [-Wimplicit-int-conversion]
2931:info:build   460 |                 buf[0] = fmt;
2932:info:build       |                        ~ ^~~
2933:info:build sfvscanf.c:595:16: warning: implicit conversion loses integer precision: 'int' to 'char' [-Wimplicit-int-conversion]
2934:info:build   595 |                         *argv.s++ = inp;
2935:info:build       |                                   ~ ^~~
2936:info:build sfvscanf.c:600:16: warning: implicit conversion loses integer precision: 'int' to 'char' [-Wimplicit-int-conversion]
2937:info:build   600 |                         *argv.s++ = inp;
2938:info:build       |                                   ~ ^~~
2939:info:build sfvscanf.c:615:16: warning: implicit conversion loses integer precision: 'int' to 'char' [-Wimplicit-int-conversion]
2940:info:build   615 |                         *argv.s++ = inp;
2941:info:build       |                                   ~ ^~~
2942:info:build sfprint.c:549:32: warning: implicit conversion changes signedness: 'int' to 'unsigned long long' [-Wsign-conversion]
2943:info:build   549 |                         lv = (Sflong_t) (HIGHBITL / base);
2944:info:build       |                                                   ~ ^~~~
2945:info:build sfprint.c:551:27: warning: implicit conversion changes signedness: 'int' to 'unsigned long long' [-Wsign-conversion]
2946:info:build   551 |                                           ((Sfulong_t) lv) * base];
2947:info:build       |                                                            ~ ^~~~
2948:info:build sfvscanf.c:408:16: warning: comparison of integers of different signs: 'int' and 'unsigned long' [-Wsign-compare]
2949:info:build   408 |             if (width >= SF_MAXDIGITS)
2950:info:build       |                 ~~~~~ ^  ~~~~~~~~~~~~
2951:info:build sfprint.c:561:39: warning: implicit conversion changes signedness: 'unsigned long long' to 'long long' [-Wsign-conversion]
2952:info:build   561 |                     } while ((lv = ((Sfulong_t) lv) >> n));
2953:info:build       |                                  ~ ~~~~~~~~~~~~~~~~~^~~~
2954:info:build sfprint.c:564:35: warning: implicit conversion changes signedness: 'int' to 'unsigned long long' [-Wsign-conversion]
2955:info:build   564 |                         *--sp = ssp[((Sfulong_t) lv) % base];
2956:info:build       |                                                      ~ ^~~~
2957:info:build sfprint.c:565:39: warning: implicit conversion changes signedness: 'unsigned long long' to 'long long' [-Wsign-conversion]
2958:info:build   565 |                     } while ((lv = ((Sfulong_t) lv) / base));
2959:info:build       |                                  ~ ~~~~~~~~~~~~~~~~~^~~~~~
2960:info:build sfprint.c:565:41: warning: implicit conversion changes signedness: 'int' to 'unsigned long long' [-Wsign-conversion]
2961:info:build   565 |                     } while ((lv = ((Sfulong_t) lv) / base));
2962:info:build       |                                                     ~ ^~~~
2963:info:build sfprint.c:603:26: warning: implicit conversion changes signedness: 'int' to 'unsigned int' [-Wsign-conversion]
2964:info:build   603 |                         v = (int) (HIGHBITI / base);
2965:info:build       |                                             ~ ^~~~
2966:info:build sfprint.c:604:47: warning: implicit conversion changes signedness: 'int' to 'unsigned int' [-Wsign-conversion]
2967:info:build   604 |                         *--sp = _Sfdigits[HIGHBITI - (unsigned)v * base];
2968:info:build       |                                                                  ~ ^~~~
2969:info:build sfprint.c:613:33: warning: implicit conversion changes signedness: 'unsigned int' to 'int' [-Wsign-conversion]
2970:info:build   613 |                     } while ((v = (unsigned)v >> n));
2971:info:build       |                                 ~ ~~~~~~~~~~~~^~~~
2972:info:build sfprint.c:616:30: warning: implicit conversion changes signedness: 'int' to 'unsigned int' [-Wsign-conversion]
2973:info:build   616 |                         *--sp = ssp[(unsigned)v % base];
2974:info:build       |                                                 ~ ^~~~
2975:info:build sfprint.c:617:33: warning: implicit conversion changes signedness: 'unsigned int' to 'int' [-Wsign-conversion]
2976:info:build   617 |                     } while ((v = (unsigned)v / base));
2977:info:build       |                                 ~ ~~~~~~~~~~~~^~~~~~
2978:info:build sfprint.c:617:35: warning: implicit conversion changes signedness: 'int' to 'unsigned int' [-Wsign-conversion]
2979:info:build   617 |                     } while ((v = (unsigned)v / base));
2980:info:build       |                                               ~ ^~~~
2981:info:build sfprint.c:668:16: warning: implicit conversion changes signedness: 'unsigned char' to 'char' [-Wsign-conversion]
2982:info:build   668 |                             *--sp = _Sfdec[(base <<= 1) + 1];
2983:info:build       |                                   ~ ^~~~~~~~~~~~~~~~~~~~~~~~
2984:info:build ../../lib/sfio/sfhdr.h:158:17: note: expanded from macro '_Sfdec'
2985:info:build   158 | #define _Sfdec          (_Sftable.sf_dec)
2986:info:build       |                         ^
2987:info:build sfprint.c:669:16: warning: implicit conversion changes signedness: 'unsigned char' to 'char' [-Wsign-conversion]
2988:info:build   669 |                             *--sp = _Sfdec[base];
2989:info:build       |                                   ~ ^~~~~~~~~~~~
2990:info:build ../../lib/sfio/sfhdr.h:158:17: note: expanded from macro '_Sfdec'
2991:info:build   158 | #define _Sfdec          (_Sftable.sf_dec)
2992:info:build       |                         ^
2993:info:build sfprint.c:832:11: warning: implicit conversion loses integer precision: 'int' to 'char' [-Wimplicit-int-conversion]
2994:info:build   832 |                 *--sp = fmt;
2995:info:build       |                       ~ ^~~
2996:info:build sfprint.c:855:21: warning: implicit conversion changes signedness: 'int' to 'unsigned long' [-Wsign-conversion]
2997:info:build   855 |             SFwrite(f, sp, n);
2998:info:build       |             ~~~~~~~~~~~~~~~^~
2999:info:build sfprint.c:72:20: note: expanded from macro 'SFwrite'
3000:info:build    72 |   if (fwrite((s), (n), 1, (f)) < 1) { \
3001:info:build       |       ~~~~~~       ^
3002:info:build sfprint.c:863:18: warning: implicit conversion changes signedness: 'int' to 'unsigned long' [-Wsign-conversion]
3003:info:build   863 |                 SFwrite(f, sp, n);
3004:info:build       |                 ~~~~~~~~~~~~~~~^~
3005:info:build sfprint.c:72:20: note: expanded from macro 'SFwrite'
3006:info:build    72 |   if (fwrite((s), (n), 1, (f)) < 1) { \
3007:info:build       |       ~~~~~~       ^
3008:info:build sfprint.c:114:15: warning: implicit conversion loses integer precision: 'long' to 'int' [-Wshorten-64-to-32]
3009:info:build 1 warning generated.
3010:info:build   114 |             n = form - sp;
3011:info:build       |               ~ ~~~~~^~~~
3012:info:build sfprint.c:424:12: warning: implicit conversion loses integer precision: 'ssize_t' (aka 'long') to 'int' [-Wshorten-64-to-32]
3013:info:build   424 |                 if ((v = size) >= 0) {
3014:info:build       |                        ~ ^~~~
3015:info:build sfprint.c:428:11: warning: implicit conversion loses integer precision: 'unsigned long' to 'int' [-Wshorten-64-to-32]
3016:info:build   428 |                     v = strlen(sp);
3017:info:build       |                       ~ ^~~~~~~~~~
3018:info:build sfprint.c:548:14: warning: comparison of integers of different signs: 'long long' and 'unsigned long long' [-Wsign-compare]
3019:info:build   548 |                     if (lv == HIGHBITL) {       /* avoid overflow */
3020:info:build       |                         ~~ ^  ~~~~~~~~
3021:info:build sfprint.c:602:13: warning: comparison of integers of different signs: 'int' and 'unsigned int' [-Wsign-compare]
3022:info:build   602 |                     if (v == HIGHBITI) {        /* avoid overflow */
3023:info:build       |                         ~ ^  ~~~~~~~~
3024:info:build sfprint.c:622:17: warning: implicit conversion loses integer precision: 'long' to 'int' [-Wshorten-64-to-32]
3025:info:build   622 |                 && (n = endsp - sp) > 3) {
3026:info:build       |                       ~ ~~~~~~^~~~
3027:info:build sfprint.c:656:14: warning: implicit conversion loses integer precision: 'long' to 'int' [-Wshorten-64-to-32]
3028:info:build   656 |                         n = width - (n + (endsp - sp));
3029:info:build       |                           ~ ~~~~~~^~~~~~~~~~~~~~~~~~~~
3030:info:build sfprint.c:716:12: warning: implicit conversion loses integer precision: 'ssize_t' (aka 'long') to 'int' [-Wshorten-64-to-32]
3031:info:build   716 |                 if ((n = sfslen()) > precis)
3032:info:build       |                        ~ ^~~~~~~~
3033:info:build sfprint.c:824:63: warning: implicit conversion loses integer precision: 'long' to 'int' [-Wshorten-64-to-32]
3034:info:build   824 |         n = (endsp - sp) + (endep - ep) + (precis <= 0 ? 0 : precis) +
3035:info:build       |           ~ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^
3036:info:build   825 |             ((flags & SFFMT_PREFIX) ? 1 : 0);
3037:info:build       |             ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
3038:info:build sfprint.c:854:17: warning: implicit conversion loses integer precision: 'long' to 'int' [-Wshorten-64-to-32]
3039:info:build   854 |         if ((n = endsp - sp) > 0)
3040:info:build       |                ~ ~~~~~~^~~~
3041:info:build sfprint.c:862:21: warning: implicit conversion loses integer precision: 'long' to 'int' [-Wshorten-64-to-32]
3042:info:build   862 |             if ((n = endep - (sp = ep)) > 0)
3043:info:build       |                    ~ ~~~~~~^~~~~~~~~~~
3044:info:build 18 warnings generated.
3045:info:build 36 warnings generated.
3046:info:build /bin/sh ../../libtool  --tag=CC   --mode=link /usr/bin/clang  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall  -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wl,-headerpad_max_install_names -o libsfio_C.la  sfcvt.lo sfextern.lo sfscanf.lo sftable.lo sfprint.lo sfvscanf.lo 
3047:info:build libtool: link: ar cr .libs/libsfio_C.a .libs/sfcvt.o .libs/sfextern.o .libs/sfscanf.o .libs/sftable.o .libs/sfprint.o .libs/sfvscanf.o
3048:info:build libtool: link: ranlib .libs/libsfio_C.a
3049:info:build libtool: link: ( cd ".libs" && rm -f "libsfio_C.la" && ln -s "../libsfio_C.la" "libsfio_C.la" )
3050:info:build make[4]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/sfio'
3051:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/sfio'
3052:info:build Making all in vmalloc
3053:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/vmalloc'
3054:info:build depbase=`echo vmalloc.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3055:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT vmalloc.lo -MD -MP -MF $depbase.Tpo -c -o vmalloc.lo vmalloc.c &&\
3056:info:build     mv -f $depbase.Tpo $depbase.Plo
3057:info:build depbase=`echo vmclear.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3058:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT vmclear.lo -MD -MP -MF $depbase.Tpo -c -o vmclear.lo vmclear.c &&\
3059:info:build     mv -f $depbase.Tpo $depbase.Plo
3060:info:build depbase=`echo vmclose.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3061:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT vmclose.lo -MD -MP -MF $depbase.Tpo -c -o vmclose.lo vmclose.c &&\
3062:info:build     mv -f $depbase.Tpo $depbase.Plo
3063:info:build depbase=`echo vmopen.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3064:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT vmopen.lo -MD -MP -MF $depbase.Tpo -c -o vmopen.lo vmopen.c &&\
3065:info:build     mv -f $depbase.Tpo $depbase.Plo
3066:info:build depbase=`echo vmstrdup.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3067:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT vmstrdup.lo -MD -MP -MF $depbase.Tpo -c -o vmstrdup.lo vmstrdup.c &&\
3068:info:build     mv -f $depbase.Tpo $depbase.Plo
3069:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT vmalloc.lo -MD -MP -MF .deps/vmalloc.Tpo -c vmalloc.c  -fno-common -DPIC -o .libs/vmalloc.o
3070:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT vmopen.lo -MD -MP -MF .deps/vmopen.Tpo -c vmopen.c  -fno-common -DPIC -o .libs/vmopen.o
3071:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT vmclear.lo -MD -MP -MF .deps/vmclear.Tpo -c vmclear.c  -fno-common -DPIC -o .libs/vmclear.o
3072:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT vmstrdup.lo -MD -MP -MF .deps/vmstrdup.Tpo -c vmstrdup.c  -fno-common -DPIC -o .libs/vmstrdup.o
3073:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT vmclose.lo -MD -MP -MF .deps/vmclose.Tpo -c vmclose.c  -fno-common -DPIC -o .libs/vmclose.o
3074:info:build /bin/sh ../../libtool  --tag=CC   --mode=link /usr/bin/clang  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall  -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wl,-headerpad_max_install_names -o libvmalloc_C.la  vmalloc.lo vmclear.lo vmclose.lo vmopen.lo vmstrdup.lo 
3075:info:build libtool: link: ar cr .libs/libvmalloc_C.a .libs/vmalloc.o .libs/vmclear.o .libs/vmclose.o .libs/vmopen.o .libs/vmstrdup.o
3076:info:build libtool: link: ranlib .libs/libvmalloc_C.a
3077:info:build libtool: link: ( cd ".libs" && rm -f "libvmalloc_C.la" && ln -s "../libvmalloc_C.la" "libvmalloc_C.la" )
3078:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/vmalloc'
3079:info:build Making all in ast
3080:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/ast'
3081:info:build depbase=`echo pathpath.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3082:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT pathpath.lo -MD -MP -MF $depbase.Tpo -c -o pathpath.lo pathpath.c &&\
3083:info:build     mv -f $depbase.Tpo $depbase.Plo
3084:info:build depbase=`echo chresc.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3085:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT chresc.lo -MD -MP -MF $depbase.Tpo -c -o chresc.lo chresc.c &&\
3086:info:build     mv -f $depbase.Tpo $depbase.Plo
3087:info:build depbase=`echo chrtoi.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3088:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT chrtoi.lo -MD -MP -MF $depbase.Tpo -c -o chrtoi.lo chrtoi.c &&\
3089:info:build     mv -f $depbase.Tpo $depbase.Plo
3090:info:build depbase=`echo error.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3091:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT error.lo -MD -MP -MF $depbase.Tpo -c -o error.lo error.c &&\
3092:info:build     mv -f $depbase.Tpo $depbase.Plo
3093:info:build depbase=`echo fmtbuf.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3094:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT fmtbuf.lo -MD -MP -MF $depbase.Tpo -c -o fmtbuf.lo fmtbuf.c &&\
3095:info:build     mv -f $depbase.Tpo $depbase.Plo
3096:info:build depbase=`echo fmtesc.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3097:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT fmtesc.lo -MD -MP -MF $depbase.Tpo -c -o fmtesc.lo fmtesc.c &&\
3098:info:build     mv -f $depbase.Tpo $depbase.Plo
3099:info:build depbase=`echo pathaccess.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3100:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT pathaccess.lo -MD -MP -MF $depbase.Tpo -c -o pathaccess.lo pathaccess.c &&\
3101:info:build     mv -f $depbase.Tpo $depbase.Plo
3102:info:build depbase=`echo pathcanon.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3103:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT pathcanon.lo -MD -MP -MF $depbase.Tpo -c -o pathcanon.lo pathcanon.c &&\
3104:info:build     mv -f $depbase.Tpo $depbase.Plo
3105:info:build depbase=`echo pathcat.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3106:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT pathcat.lo -MD -MP -MF $depbase.Tpo -c -o pathcat.lo pathcat.c &&\
3107:info:build     mv -f $depbase.Tpo $depbase.Plo
3108:info:build depbase=`echo pathfind.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3109:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT pathfind.lo -MD -MP -MF $depbase.Tpo -c -o pathfind.lo pathfind.c &&\
3110:info:build     mv -f $depbase.Tpo $depbase.Plo
3111:info:build depbase=`echo strcopy.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3112:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT strcopy.lo -MD -MP -MF $depbase.Tpo -c -o strcopy.lo strcopy.c &&\
3113:info:build     mv -f $depbase.Tpo $depbase.Plo
3114:info:build depbase=`echo stresc.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3115:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT stresc.lo -MD -MP -MF $depbase.Tpo -c -o stresc.lo stresc.c &&\
3116:info:build     mv -f $depbase.Tpo $depbase.Plo
3117:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT fmtbuf.lo -MD -MP -MF .deps/fmtbuf.Tpo -c fmtbuf.c  -fno-common -DPIC -o .libs/fmtbuf.o
3118:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT chrtoi.lo -MD -MP -MF .deps/chrtoi.Tpo -c chrtoi.c  -fno-common -DPIC -o .libs/chrtoi.o
3119:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT pathaccess.lo -MD -MP -MF .deps/pathaccess.Tpo -c pathaccess.c  -fno-common -DPIC -o .libs/pathaccess.o
3120:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT error.lo -MD -MP -MF .deps/error.Tpo -c error.c  -fno-common -DPIC -o .libs/error.o
3121:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT pathpath.lo -MD -MP -MF .deps/pathpath.Tpo -c pathpath.c  -fno-common -DPIC -o .libs/pathpath.o
3122:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT chresc.lo -MD -MP -MF .deps/chresc.Tpo -c chresc.c  -fno-common -DPIC -o .libs/chresc.o
3123:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT pathfind.lo -MD -MP -MF .deps/pathfind.Tpo -c pathfind.c  -fno-common -DPIC -o .libs/pathfind.o
3124:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT fmtesc.lo -MD -MP -MF .deps/fmtesc.Tpo -c fmtesc.c  -fno-common -DPIC -o .libs/fmtesc.o
3125:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT strcopy.lo -MD -MP -MF .deps/strcopy.Tpo -c strcopy.c  -fno-common -DPIC -o .libs/strcopy.o
3126:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT pathcat.lo -MD -MP -MF .deps/pathcat.Tpo -c pathcat.c  -fno-common -DPIC -o .libs/pathcat.o
3127:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT stresc.lo -MD -MP -MF .deps/stresc.Tpo -c stresc.c  -fno-common -DPIC -o .libs/stresc.o
3128:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT pathcanon.lo -MD -MP -MF .deps/pathcanon.Tpo -c pathcanon.c  -fno-common -DPIC -o .libs/pathcanon.o
3129:info:build chresc.c:132:16: warning: cast from 'const char *' to 'char *' drops const qualifier [-Wcast-qual]
3130:info:build   132 |         *p = (char *) s;
3131:info:build       |                       ^
3132:info:build stresc.c:39:9: warning: implicit conversion loses integer precision: 'int' to 'char' [-Wimplicit-int-conversion]
3133:info:build    39 |         *t++ = c;
3134:info:build       |              ~ ^
3135:info:build 1 warning generated.
3136:info:build 1 warning generated.
3137:info:build pathpath.c:66:28: warning: cast from 'const char *' to 'char *' drops const qualifier [-Wcast-qual]
3138:info:build    66 |     else if ((s = (char *) a)) {
3139:info:build       |                            ^
3140:info:build depbase=`echo strmatch.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3141:info:build     /bin/sh ../../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT strmatch.lo -MD -MP -MF $depbase.Tpo -c -o strmatch.lo strmatch.c &&\
3142:info:build     mv -f $depbase.Tpo $depbase.Plo
3143:info:build 1 warning generated.
3144:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall -MT strmatch.lo -MD -MP -MF .deps/strmatch.Tpo -c strmatch.c  -fno-common -DPIC -o .libs/strmatch.o
3145:info:build strmatch.c:580:18: warning: cast from 'const char *' to 'char *' drops const qualifier [-Wcast-qual]
3146:info:build   580 |     s = (char *) b;
3147:info:build       |                  ^
3148:info:build strmatch.c:586:43: warning: cast from 'const char *' to 'char *' drops const qualifier [-Wcast-qual]
3149:info:build   586 |         if ((i = grpmatch(&match, 0, s, (char *) p, e, flags)) || match.best.next_s) {
3150:info:build       |                                                  ^
3151:info:build strmatch.c:608:18: warning: cast from 'const char *' to 'char *' drops const qualifier [-Wcast-qual]
3152:info:build   608 |     s = (char *) b;
3153:info:build       |                  ^
3154:info:build strmatch.c:612:59: warning: implicit conversion loses integer precision: 'long' to 'int' [-Wshorten-64-to-32]
3155:info:build   612 |         sub[i * 2] = match.current.end[i] ? match.current.beg[i] - s : 0;
3156:info:build       |                    ~                        ~~~~~~~~~~~~~~~~~~~~~^~~
3157:info:build strmatch.c:613:63: warning: implicit conversion loses integer precision: 'long' to 'int' [-Wshorten-64-to-32]
3158:info:build   613 |         sub[i * 2 + 1] = match.current.end[i] ? match.current.end[i] - s : 0;
3159:info:build       |                        ~                        ~~~~~~~~~~~~~~~~~~~~~^~~
3160:info:build 5 warnings generated.
3161:info:build /bin/sh ../../libtool  --tag=CC   --mode=link /usr/bin/clang  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wall -Wextra -Wmissing-include-dirs -Wswitch-default -Wfloat-equal -Wundef -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wconversion -Wstrict-prototypes -Wmissing-prototypes -Wnested-externs -fno-common -Wall  -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -Wl,-headerpad_max_install_names -o libast_C.la  pathpath.lo chresc.lo chrtoi.lo error.lo fmtbuf.lo fmtesc.lo pathaccess.lo pathcanon.lo pathcat.lo pathfind.lo strcopy.lo stresc.lo strmatch.lo 
3162:info:build libtool: link: ar cr .libs/libast_C.a .libs/pathpath.o .libs/chresc.o .libs/chrtoi.o .libs/error.o .libs/fmtbuf.o .libs/fmtesc.o .libs/pathaccess.o .libs/pathcanon.o .libs/pathcat.o .libs/pathfind.o .libs/strcopy.o .libs/stresc.o .libs/strmatch.o
3163:info:build libtool: link: ranlib .libs/libast_C.a
3164:info:build libtool: link: ( cd ".libs" && rm -f "libast_C.la" && ln -s "../libast_C.la" "libast_C.la" )
3165:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/ast'
3166:info:build Making all in vpsc
3167:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/vpsc'
3168:info:build depbase=`echo block.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3169:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT block.lo -MD -MP -MF $depbase.Tpo -c -o block.lo block.cpp &&\
3170:info:build     mv -f $depbase.Tpo $depbase.Plo
3171:info:build depbase=`echo blocks.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3172:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT blocks.lo -MD -MP -MF $depbase.Tpo -c -o blocks.lo blocks.cpp &&\
3173:info:build     mv -f $depbase.Tpo $depbase.Plo
3174:info:build depbase=`echo constraint.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3175:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT constraint.lo -MD -MP -MF $depbase.Tpo -c -o constraint.lo constraint.cpp &&\
3176:info:build     mv -f $depbase.Tpo $depbase.Plo
3177:info:build depbase=`echo generate-constraints.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3178:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT generate-constraints.lo -MD -MP -MF $depbase.Tpo -c -o generate-constraints.lo generate-constraints.cpp &&\
3179:info:build     mv -f $depbase.Tpo $depbase.Plo
3180:info:build depbase=`echo solve_VPSC.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3181:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT solve_VPSC.lo -MD -MP -MF $depbase.Tpo -c -o solve_VPSC.lo solve_VPSC.cpp &&\
3182:info:build     mv -f $depbase.Tpo $depbase.Plo
3183:info:build depbase=`echo csolve_VPSC.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3184:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT csolve_VPSC.lo -MD -MP -MF $depbase.Tpo -c -o csolve_VPSC.lo csolve_VPSC.cpp &&\
3185:info:build     mv -f $depbase.Tpo $depbase.Plo
3186:info:build depbase=`echo variable.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3187:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT variable.lo -MD -MP -MF $depbase.Tpo -c -o variable.lo variable.cpp &&\
3188:info:build     mv -f $depbase.Tpo $depbase.Plo
3189:info:build depbase=`echo pairingheap/PairingHeap.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
3190:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT pairingheap/PairingHeap.lo -MD -MP -MF $depbase.Tpo -c -o pairingheap/PairingHeap.lo pairingheap/PairingHeap.cpp &&\
3191:info:build     mv -f $depbase.Tpo $depbase.Plo
3192:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT constraint.lo -MD -MP -MF .deps/constraint.Tpo -c constraint.cpp  -fno-common -DPIC -o .libs/constraint.o
3193:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT blocks.lo -MD -MP -MF .deps/blocks.Tpo -c blocks.cpp  -fno-common -DPIC -o .libs/blocks.o
3194:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT block.lo -MD -MP -MF .deps/block.Tpo -c block.cpp  -fno-common -DPIC -o .libs/block.o
3195:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT solve_VPSC.lo -MD -MP -MF .deps/solve_VPSC.Tpo -c solve_VPSC.cpp  -fno-common -DPIC -o .libs/solve_VPSC.o
3196:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT generate-constraints.lo -MD -MP -MF .deps/generate-constraints.Tpo -c generate-constraints.cpp  -fno-common -DPIC -o .libs/generate-constraints.o
3197:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT variable.lo -MD -MP -MF .deps/variable.Tpo -c variable.cpp  -fno-common -DPIC -o .libs/variable.o
3198:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT csolve_VPSC.lo -MD -MP -MF .deps/csolve_VPSC.Tpo -c csolve_VPSC.cpp  -fno-common -DPIC -o .libs/csolve_VPSC.o
3199:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT pairingheap/PairingHeap.lo -MD -MP -MF pairingheap/.deps/PairingHeap.Tpo -c pairingheap/PairingHeap.cpp  -fno-common -DPIC -o pairingheap/.libs/PairingHeap.o
3200:info:build csolve_VPSC.cpp:19:10: fatal error: 'iostream' file not found
3201:info:build    19 | #include <iostream>
3202:info:build       |          ^~~~~~~~~~
3203:info:build In file included from blocks.cpp:22:
3204:info:build ../../lib/vpsc/blocks.h:26:10: fatal error: 'set' file not found
3205:info:build    26 | #include <set>
3206:info:build       |          ^~~~~
3207:info:build solve_VPSC.cpp:20:10: fatal error: 'cassert' file not found
3208:info:build    20 | #include <cassert>
3209:info:build       |          ^~~~~~~~~
3210:info:build In file included from constraint.cpp:19:
3211:info:build ../../lib/vpsc/constraint.h:21:10: fatal error: 'iostream' file not foundIn file included from
3212:info:build variable.cpp:16:
3213:info:build ../../lib/vpsc/variable.h:18:10: fatal error: 'vector' file not found
3214:info:build    18 | #include    <vector>
3215:info:build       |          ^~~~~~~~
3216:info:build 21 | #include <iostream>
3217:info:build       |          ^~~~~~~~~~
3218:info:build block.cpp:19:10: fatal error: 'cassert' file not found
3219:info:build    19 | #include <cassert>
3220:info:build       |          ^~~~~~~~~
3221:info:build pairingheap/PairingHeap.cpp:25:10: fatal error: 'vector' file not found
3222:info:build    25 | #include <vector>
3223:info:build       |          ^~~~~~~~
3224:info:build generate-constraints.cpp:19:10: fatal error: 'algorithm' file not found
3225:info:build    19 | #include <algorithm>
3226:info:build       |          ^~~~~~~~~~~
3227:info:build 1 error generated.
3228:info:build 1 error generated.
3229:info:build 1 error generated.
3230:info:build 1 error generated.
3231:info:build 1 error generated.
3232:info:build 1 error generated.
3233:info:build make[3]: *** [block.lo] Error 1
3234:info:build make[3]: *** Waiting for unfinished jobs....
3235:info:build 1 error generated.
3236:info:build make[3]: *** [constraint.lo] Error 1
3237:info:build make[3]: *** [csolve_VPSC.lo] Error 1
3238:info:build make[3]: *** [pairingheap/PairingHeap.lo] Error 1
3239:info:build make[3]: *** [variable.lo] Error 1
3240:info:build make[3]: *** [blocks.lo] Error 1
3241:info:build 1 error generated.
3242:info:build make[3]: *** [solve_VPSC.lo] Error 1
3243:info:build make[3]: *** [generate-constraints.lo] Error 1
3244:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/vpsc'
3245:info:build make[2]: *** [all-recursive] Error 1
3246:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib'
3247:info:build make[1]: *** [all-recursive] Error 1
3248:info:build make[1]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0'
3249:info:build make: *** [all] Error 2
3250:info:build make: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0'
3251:info:build Command failed:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0" && /usr/bin/make -j12 -w all
3252:info:build Exit code: 2
3253:error:build Failed to build graphviz: command execution failed
3254:debug:build Error code: CHILDSTATUS 21575 2
3255:debug:build Backtrace: command execution failed
3256:debug:build     while executing
3257:debug:build "system {*}$notty {*}$callback {*}$nice $fullcmdstring"
3258:debug:build     invoked from within
3259:debug:build "command_exec -callback portprogress::target_progress_callback build"
3260:debug:build     (procedure "portbuild::build_main" line 10)
3261:debug:build     invoked from within
3262:debug:build "$procedure $targetname"
3263:error:build See /opt/local/var/macports/logs/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/main.log for details.
3264version:1
3265:debug:main Starting logging for graphviz @9.0.0_1+pangocairo+x11
3266:debug:sysinfo macOS 14.7 (darwin/23.6.0) arch arm
3267:debug:sysinfo MacPorts 2.10.1
3268:debug:sysinfo Xcode 16.0, CLT 16.0.0.0.1.1724870825
3269:debug:sysinfo SDK 14
3270:debug:sysinfo MACOSX_DEPLOYMENT_TARGET: 14.0
3271:debug:main Fetching graphviz-9.0.0_1+pangocairo+x11.darwin_23.arm64.tbz2 archive size
3272:debug:main epoch: in tree: 0 installed: 0
3273:debug:main pkgconfig 0.29.2_0 exists in the ports tree
3274:debug:main pkgconfig 0.29.2_0  is the latest installed
3275:debug:main pkgconfig 0.29.2_0  is active
3276:debug:main Merging existing requested variants '' into variants
3277:debug:main new fully merged portvariants:
3278:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/pkgconfig
3279:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3280:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
3281:debug:main Sourcing PortGroup conflicts_build 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/conflicts_build-1.0.tcl
3282:debug:main adding the default universal variant
3283:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3284:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3285:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3286:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3287:debug:main Running callback portstartupitem::add_notes
3288:debug:main Finished running callback portstartupitem::add_notes
3289:debug:main No need to upgrade! pkgconfig 0.29.2_0 >= pkgconfig 0.29.2_0
3290:debug:main epoch: in tree: 0 installed: 0
3291:debug:main libiconv 1.17_0 exists in the ports tree
3292:debug:main libiconv 1.17_0  is the latest installed
3293:debug:main libiconv 1.17_0  is active
3294:debug:main Merging existing requested variants '' into variants
3295:debug:main new fully merged portvariants:
3296:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/textproc/libiconv
3297:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3298:debug:main Re-registering default for configure.universal_args
3299:debug:main Re-registering default for configure.cc_archflags
3300:debug:main Re-registering default for configure.objc_archflags
3301:debug:main Re-registering default for configure.cxx_archflags
3302:debug:main Re-registering default for configure.objcxx_archflags
3303:debug:main Re-registering default for configure.fc_archflags
3304:debug:main Re-registering default for configure.f90_archflags
3305:debug:main Re-registering default for configure.f77_archflags
3306:debug:main Re-registering default for configure.ld_archflags
3307:debug:main Re-registering default for configure.universal_cflags
3308:debug:main Re-registering default for configure.universal_objcflags
3309:debug:main Re-registering default for configure.universal_cxxflags
3310:debug:main Re-registering default for configure.universal_objcxxflags
3311:debug:main Re-registering default for configure.universal_cppflags
3312:debug:main Re-registering default for configure.universal_ldflags
3313:debug:main Sourcing PortGroup muniversal 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.1.tcl
3314:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
3315:debug:main muniversal: adding universal variant
3316:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3317:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3318:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3319:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3320:debug:main Running callback portstartupitem::add_notes
3321:debug:main Finished running callback portstartupitem::add_notes
3322:debug:main Running callback muniversal::add_compiler_flags
3323:debug:main Finished running callback muniversal::add_compiler_flags
3324:debug:main No need to upgrade! libiconv 1.17_0 >= libiconv 1.17_0
3325:debug:main epoch: in tree: 2 installed: 2
3326:debug:main gettext 0.22.5_0 exists in the ports tree
3327:debug:main gettext 0.22.5_0  is the latest installed
3328:debug:main gettext 0.22.5_0  is active
3329:debug:main Merging existing requested variants '' into variants
3330:debug:main new fully merged portvariants:
3331:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/gettext
3332:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3333:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
3334:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
3335:debug:main Sourcing PortGroup gnulib 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/gnulib-1.0.tcl
3336:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
3337:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 211.10.1}
3338:debug:main muniversal: adding universal variant
3339:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3340:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3341:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3342:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3343:debug:main Running callback portstartupitem::add_notes
3344:debug:main Finished running callback portstartupitem::add_notes
3345:debug:main Running callback gnulib::setup
3346:debug:main Finished running callback gnulib::setup
3347:debug:main No need to upgrade! gettext 0.22.5_0 >= gettext 0.22.5_0
3348:debug:main epoch: in tree: 0 installed: 0
3349:debug:main gettext-tools-libs 0.22.5_0 exists in the ports tree
3350:debug:main gettext-tools-libs 0.22.5_0  is the latest installed
3351:debug:main gettext-tools-libs 0.22.5_0  is active
3352:debug:main Merging existing requested variants '' into variants
3353:debug:main new fully merged portvariants:
3354:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/gettext
3355:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3356:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
3357:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
3358:debug:main Sourcing PortGroup gnulib 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/gnulib-1.0.tcl
3359:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
3360:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 211.10.1}
3361:debug:main muniversal: adding universal variant
3362:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3363:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3364:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3365:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3366:debug:main Running callback portstartupitem::add_notes
3367:debug:main Finished running callback portstartupitem::add_notes
3368:debug:main Running callback gnulib::setup
3369:debug:main Finished running callback gnulib::setup
3370:debug:main No need to upgrade! gettext-tools-libs 0.22.5_0 >= gettext-tools-libs 0.22.5_0
3371:debug:main epoch: in tree: 0 installed: 0
3372:debug:main libtextstyle 0.22.5_0 exists in the ports tree
3373:debug:main libtextstyle 0.22.5_0  is the latest installed
3374:debug:main libtextstyle 0.22.5_0  is active
3375:debug:main Merging existing requested variants '' into variants
3376:debug:main new fully merged portvariants:
3377:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/gettext
3378:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3379:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
3380:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
3381:debug:main Sourcing PortGroup gnulib 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/gnulib-1.0.tcl
3382:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
3383:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 211.10.1}
3384:debug:main muniversal: adding universal variant
3385:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3386:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3387:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3388:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3389:debug:main Running callback portstartupitem::add_notes
3390:debug:main Finished running callback portstartupitem::add_notes
3391:debug:main Running callback gnulib::setup
3392:debug:main Finished running callback gnulib::setup
3393:debug:main No need to upgrade! libtextstyle 0.22.5_0 >= libtextstyle 0.22.5_0
3394:debug:main epoch: in tree: 0 installed: 0
3395:debug:main ncurses 6.5_0 exists in the ports tree
3396:debug:main ncurses 6.5_0  is the latest installed
3397:debug:main ncurses 6.5_0  is active
3398:debug:main Merging existing requested variants '' into variants
3399:debug:main new fully merged portvariants:
3400:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/ncurses
3401:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3402:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
3403:debug:main adding the default universal variant
3404:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3405:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3406:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3407:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3408:debug:main Running callback portstartupitem::add_notes
3409:debug:main Finished running callback portstartupitem::add_notes
3410:debug:main No need to upgrade! ncurses 6.5_0 >= ncurses 6.5_0
3411:debug:main epoch: in tree: 0 installed: 0
3412:debug:main gettext-runtime 0.22.5_0 exists in the ports tree
3413:debug:main gettext-runtime 0.22.5_0  is the latest installed
3414:debug:main gettext-runtime 0.22.5_0  is active
3415:debug:main Merging existing requested variants '' into variants
3416:debug:main new fully merged portvariants:
3417:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/gettext
3418:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3419:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
3420:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
3421:debug:main Sourcing PortGroup gnulib 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/gnulib-1.0.tcl
3422:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
3423:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 211.10.1}
3424:debug:main muniversal: adding universal variant
3425:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3426:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3427:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3428:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3429:debug:main Running callback portstartupitem::add_notes
3430:debug:main Finished running callback portstartupitem::add_notes
3431:debug:main Running callback gnulib::setup
3432:debug:main Finished running callback gnulib::setup
3433:debug:main No need to upgrade! gettext-runtime 0.22.5_0 >= gettext-runtime 0.22.5_0
3434:debug:main epoch: in tree: 0 installed: 0
3435:debug:main autoconf-archive 2023.02.20_0 exists in the ports tree
3436:debug:main autoconf-archive 2023.02.20_0  is the latest installed
3437:debug:main autoconf-archive 2023.02.20_0  is active
3438:debug:main Merging existing requested variants '' into variants
3439:debug:main new fully merged portvariants:
3440:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/autoconf-archive
3441:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3442:debug:main only one arch supported, so not adding the default universal variant
3443:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3444:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3445:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3446:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3447:debug:main Running callback portstartupitem::add_notes
3448:debug:main Finished running callback portstartupitem::add_notes
3449:debug:main No need to upgrade! autoconf-archive 2023.02.20_0 >= autoconf-archive 2023.02.20_0
3450:debug:main epoch: in tree: 0 installed: 0
3451:debug:main gsed 4.9_1 exists in the ports tree
3452:debug:main gsed 4.9_1  is the latest installed
3453:debug:main gsed 4.9_1  is active
3454:debug:main Merging existing requested variants '' into variants
3455:debug:main new fully merged portvariants:
3456:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/textproc/gsed
3457:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3458:debug:main Sourcing PortGroup gnu_info 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/gnu_info-1.0.tcl
3459:debug:main adding the default universal variant
3460:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3461:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3462:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3463:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3464:debug:main Running callback portstartupitem::add_notes
3465:debug:main Finished running callback portstartupitem::add_notes
3466:debug:main No need to upgrade! gsed 4.9_1 >= gsed 4.9_1
3467:debug:main epoch: in tree: 0 installed: 0
3468:debug:main texinfo 7.1_1 exists in the ports tree
3469:debug:main texinfo 7.1_1  is the latest installed
3470:debug:main texinfo 7.1_1  is active
3471:debug:main Merging existing requested variants '' into variants
3472:debug:main new fully merged portvariants:
3473:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/textproc/texinfo
3474:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3475:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
3476:debug:main Re-registering default for configure.universal_args
3477:debug:main Sourcing PortGroup perl5 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/perl5-1.0.tcl
3478:debug:main adding the default universal variant
3479:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3480:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3481:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3482:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3483:debug:main Running callback portstartupitem::add_notes
3484:debug:main Finished running callback portstartupitem::add_notes
3485:debug:main No need to upgrade! texinfo 7.1_1 >= texinfo 7.1_1
3486:debug:main epoch: in tree: 0 installed: 0
3487:debug:main perl5.34 5.34.3_1 exists in the ports tree
3488:debug:main perl5.34 5.34.3_1  is the latest installed
3489:debug:main perl5.34 5.34.3_1  is active
3490:debug:main Merging existing requested variants '' into variants
3491:debug:main new fully merged portvariants:
3492:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/lang/perl5
3493:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3494:debug:main Re-registering default for configure.universal_args
3495:debug:main Sourcing PortGroup perl5 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/perl5-1.0.tcl
3496:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
3497:debug:main adding the default universal variant
3498:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3499:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3500:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3501:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3502:debug:main Running callback portstartupitem::add_notes
3503:debug:main Finished running callback portstartupitem::add_notes
3504:debug:main No need to upgrade! perl5.34 5.34.3_1 >= perl5.34 5.34.3_1
3505:debug:main epoch: in tree: 0 installed: 0
3506:debug:main db48 4.8.30_5 exists in the ports tree
3507:debug:main db48 4.8.30_5  is the latest installed
3508:debug:main db48 4.8.30_5  is active
3509:debug:main Merging existing requested variants '' into variants
3510:debug:main new fully merged portvariants:
3511:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/databases/db48
3512:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3513:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
3514:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
3515:debug:main muniversal: adding universal variant
3516:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3517:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3518:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3519:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3520:debug:main Running callback portstartupitem::add_notes
3521:debug:main Finished running callback portstartupitem::add_notes
3522:debug:main No need to upgrade! db48 4.8.30_5 >= db48 4.8.30_5
3523:debug:main epoch: in tree: 0 installed: 0
3524:debug:main gdbm 1.24_0 exists in the ports tree
3525:debug:main gdbm 1.24_0  is the latest installed
3526:debug:main gdbm 1.24_0  is active
3527:debug:main Merging existing requested variants '' into variants
3528:debug:main new fully merged portvariants:
3529:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/databases/gdbm
3530:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3531:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
3532:debug:main adding the default universal variant
3533:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3534:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3535:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3536:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3537:debug:main Running callback portstartupitem::add_notes
3538:debug:main Finished running callback portstartupitem::add_notes
3539:debug:main No need to upgrade! gdbm 1.24_0 >= gdbm 1.24_0
3540:debug:main epoch: in tree: 0 installed: 0
3541:debug:main readline 8.2.013_0 exists in the ports tree
3542:debug:main readline 8.2.013_0  is the latest installed
3543:debug:main readline 8.2.013_0  is active
3544:debug:main Merging existing requested variants '' into variants
3545:debug:main new fully merged portvariants:
3546:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/readline
3547:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3548:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
3549:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
3550:debug:main muniversal: adding universal variant
3551:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3552:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3553:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3554:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3555:debug:main Running callback portstartupitem::add_notes
3556:debug:main Finished running callback portstartupitem::add_notes
3557:debug:main No need to upgrade! readline 8.2.013_0 >= readline 8.2.013_0
3558:debug:main epoch: in tree: 1 installed: 1
3559:debug:main autoconf 2.72_0 exists in the ports tree
3560:debug:main autoconf 2.72_0  is the latest installed
3561:debug:main autoconf 2.72_0  is active
3562:debug:main Merging existing requested variants '' into variants
3563:debug:main new fully merged portvariants:
3564:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/autoconf
3565:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3566:debug:main only one arch supported, so not adding the default universal variant
3567:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3568:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3569:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3570:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3571:debug:main Running callback portstartupitem::add_notes
3572:debug:main Finished running callback portstartupitem::add_notes
3573:debug:main No need to upgrade! autoconf 2.72_0 >= autoconf 2.72_0
3574:debug:main epoch: in tree: 0 installed: 0
3575:debug:main m4 1.4.19_1 exists in the ports tree
3576:debug:main m4 1.4.19_1  is the latest installed
3577:debug:main m4 1.4.19_1  is active
3578:debug:main Merging existing requested variants '' into variants
3579:debug:main new fully merged portvariants:
3580:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/m4
3581:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3582:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
3583:debug:main Sourcing PortGroup gnulib 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/gnulib-1.0.tcl
3584:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
3585:debug:main muniversal: adding universal variant
3586:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3587:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3588:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3589:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3590:debug:main Running callback portstartupitem::add_notes
3591:debug:main Finished running callback portstartupitem::add_notes
3592:debug:main Running callback gnulib::setup
3593:debug:main Finished running callback gnulib::setup
3594:debug:main No need to upgrade! m4 1.4.19_1 >= m4 1.4.19_1
3595:debug:main epoch: in tree: 0 installed: 0
3596:debug:main automake 1.17_0 exists in the ports tree
3597:debug:main automake 1.17_0  is the latest installed
3598:debug:main automake 1.17_0  is active
3599:debug:main Merging existing requested variants '' into variants
3600:debug:main new fully merged portvariants:
3601:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/automake
3602:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3603:debug:main only one arch supported, so not adding the default universal variant
3604:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3605:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3606:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3607:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3608:debug:main Running callback portstartupitem::add_notes
3609:debug:main Finished running callback portstartupitem::add_notes
3610:debug:main No need to upgrade! automake 1.17_0 >= automake 1.17_0
3611:debug:main epoch: in tree: 0 installed: 0
3612:debug:main libtool 2.4.7_4 exists in the ports tree
3613:debug:main libtool 2.4.7_4  is the latest installed
3614:debug:main libtool 2.4.7_4  is active
3615:debug:main Merging existing requested variants '' into variants
3616:debug:main new fully merged portvariants:
3617:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/libtool
3618:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3619:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
3620:debug:main adding the default universal variant
3621:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3622:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3623:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3624:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3625:debug:main Running callback portstartupitem::add_notes
3626:debug:main Finished running callback portstartupitem::add_notes
3627:debug:main No need to upgrade! libtool 2.4.7_4 >= libtool 2.4.7_4
3628:debug:main epoch: in tree: 0 installed: 0
3629:debug:main libjpeg-turbo 3.0.3_0 exists in the ports tree
3630:debug:main libjpeg-turbo 3.0.3_0  is the latest installed
3631:debug:main libjpeg-turbo 3.0.3_0  is active
3632:debug:main Merging existing requested variants '' into variants
3633:debug:main new fully merged portvariants:
3634:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/libjpeg-turbo
3635:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3636:debug:main Re-registering default for destroot.target
3637:debug:main Re-registering default for configure.dir
3638:debug:main Re-registering default for build.dir
3639:debug:main Re-registering default for configure.pre_args
3640:debug:main Sourcing PortGroup cmake 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/cmake-1.1.tcl
3641:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
3642:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
3643:debug:main Re-registering default for extract.rename
3644:debug:main Re-registering default for livecheck.url
3645:debug:main Re-registering default for livecheck.regex
3646:debug:main Re-registering default for extract.rename
3647:debug:main muniversal: adding universal variant
3648:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3649:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3650:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3651:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3652:debug:main Running callback portstartupitem::add_notes
3653:debug:main Finished running callback portstartupitem::add_notes
3654:debug:main No need to upgrade! libjpeg-turbo 3.0.3_0 >= libjpeg-turbo 3.0.3_0
3655:debug:main epoch: in tree: 0 installed: 0
3656:debug:main libpng 1.6.43_0 exists in the ports tree
3657:debug:main libpng 1.6.43_0  is the latest installed
3658:debug:main libpng 1.6.43_0  is active
3659:debug:main Merging existing requested variants '' into variants
3660:debug:main new fully merged portvariants:
3661:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/libpng
3662:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3663:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
3664:debug:main muniversal: adding universal variant
3665:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3666:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3667:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3668:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3669:debug:main Running callback portstartupitem::add_notes
3670:debug:main Finished running callback portstartupitem::add_notes
3671:debug:main No need to upgrade! libpng 1.6.43_0 >= libpng 1.6.43_0
3672:debug:main epoch: in tree: 0 installed: 0
3673:debug:main zlib 1.3.1_0 exists in the ports tree
3674:debug:main zlib 1.3.1_0  is the latest installed
3675:debug:main zlib 1.3.1_0  is active
3676:debug:main Merging existing requested variants '' into variants
3677:debug:main new fully merged portvariants:
3678:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/archivers/zlib
3679:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3680:debug:main Sourcing PortGroup xcodeversion 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/xcodeversion-1.0.tcl
3681:debug:main adding the default universal variant
3682:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3683:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3684:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3685:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3686:debug:main Running callback portstartupitem::add_notes
3687:debug:main Finished running callback portstartupitem::add_notes
3688:debug:main No need to upgrade! zlib 1.3.1_0 >= zlib 1.3.1_0
3689:debug:main epoch: in tree: 0 installed: 0
3690:debug:main webp 1.4.0_0 exists in the ports tree
3691:debug:main webp 1.4.0_0  is the latest installed
3692:debug:main webp 1.4.0_0  is active
3693:debug:main Merging existing requested variants '' into variants
3694:debug:main new fully merged portvariants:
3695:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/webp
3696:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3697:debug:main adding the default universal variant
3698:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3699:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3700:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3701:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3702:debug:main Running callback portstartupitem::add_notes
3703:debug:main Finished running callback portstartupitem::add_notes
3704:debug:main No need to upgrade! webp 1.4.0_0 >= webp 1.4.0_0
3705:debug:main epoch: in tree: 0 installed: 0
3706:debug:main giflib 4.2.3_1 exists in the ports tree
3707:debug:main giflib 4.2.3_1  is the latest installed
3708:debug:main giflib 4.2.3_1  is active
3709:debug:main Merging existing requested variants '' into variants
3710:debug:main new fully merged portvariants:
3711:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/giflib
3712:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3713:debug:main adding the default universal variant
3714:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3715:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3716:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3717:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3718:debug:main Running callback portstartupitem::add_notes
3719:debug:main Finished running callback portstartupitem::add_notes
3720:debug:main No need to upgrade! giflib 4.2.3_1 >= giflib 4.2.3_1
3721:debug:main epoch: in tree: 0 installed: 0
3722:debug:main tiff 4.6.0_0 exists in the ports tree
3723:debug:main tiff 4.6.0_0  is the latest installed
3724:debug:main tiff 4.6.0_0  is active
3725:debug:main Merging existing requested variants '' into variants
3726:debug:main new fully merged portvariants:
3727:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/tiff
3728:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3729:debug:main Sourcing PortGroup xcodeversion 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/xcodeversion-1.0.tcl
3730:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
3731:debug:main muniversal: adding universal variant
3732:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3733:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3734:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3735:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3736:debug:main Running callback portstartupitem::add_notes
3737:debug:main Finished running callback portstartupitem::add_notes
3738:debug:main No need to upgrade! tiff 4.6.0_0 >= tiff 4.6.0_0
3739:debug:main epoch: in tree: 0 installed: 0
3740:debug:main lerc 4.0.0_1 exists in the ports tree
3741:debug:main lerc 4.0.0_1  is the latest installed
3742:debug:main lerc 4.0.0_1  is active
3743:debug:main Merging existing requested variants '' into variants
3744:debug:main new fully merged portvariants:
3745:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/gis/lerc
3746:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3747:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
3748:debug:main Re-registering default for destroot.target
3749:debug:main Re-registering default for configure.dir
3750:debug:main Re-registering default for build.dir
3751:debug:main Re-registering default for configure.pre_args
3752:debug:main Sourcing PortGroup cmake 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/cmake-1.1.tcl
3753:debug:main Re-registering default for extract.rename
3754:debug:main Re-registering default for livecheck.url
3755:debug:main Re-registering default for livecheck.regex
3756:debug:main Re-registering default for extract.rename
3757:debug:main adding the default universal variant
3758:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3759:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3760:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3761:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3762:debug:main Running callback portstartupitem::add_notes
3763:debug:main Finished running callback portstartupitem::add_notes
3764:debug:main No need to upgrade! lerc 4.0.0_1 >= lerc 4.0.0_1
3765:debug:main epoch: in tree: 0 installed: 0
3766:debug:main libdeflate 1.21_0 exists in the ports tree
3767:debug:main libdeflate 1.21_0  is the latest installed
3768:debug:main libdeflate 1.21_0  is active
3769:debug:main Merging existing requested variants '' into variants
3770:debug:main new fully merged portvariants:
3771:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/archivers/libdeflate
3772:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3773:debug:main Re-registering default for destroot.target
3774:debug:main Re-registering default for configure.dir
3775:debug:main Re-registering default for build.dir
3776:debug:main Re-registering default for configure.pre_args
3777:debug:main Sourcing PortGroup cmake 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/cmake-1.1.tcl
3778:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
3779:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
3780:debug:main Sourcing PortGroup legacysupport 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/legacysupport-1.1.tcl
3781:debug:main Re-registering default for extract.rename
3782:debug:main Re-registering default for livecheck.url
3783:debug:main Re-registering default for livecheck.regex
3784:debug:main Re-registering default for extract.rename
3785:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 800}
3786:debug:main adding the default universal variant
3787:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3788:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3789:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3790:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3791:debug:main Running callback portstartupitem::add_notes
3792:debug:main Finished running callback portstartupitem::add_notes
3793:debug:main Running callback legacysupport::add_legacysupport
3794:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
3795:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
3796:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
3797:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
3798:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
3799:debug:main Finished running callback legacysupport::add_legacysupport
3800:debug:main No need to upgrade! libdeflate 1.21_0 >= libdeflate 1.21_0
3801:debug:main epoch: in tree: 1 installed: 1
3802:debug:main xz 5.4.7_0 exists in the ports tree
3803:debug:main xz 5.4.7_0  is the latest installed
3804:debug:main xz 5.4.7_0  is active
3805:debug:main Merging existing requested variants '' into variants
3806:debug:main new fully merged portvariants:
3807:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/archivers/xz
3808:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3809:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
3810:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
3811:debug:main Re-registering default for extract.rename
3812:debug:main Re-registering default for livecheck.url
3813:debug:main Re-registering default for livecheck.regex
3814:debug:main Re-registering default for extract.rename
3815:debug:main adding the default universal variant
3816:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3817:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3818:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3819:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3820:debug:main Running callback portstartupitem::add_notes
3821:debug:main Finished running callback portstartupitem::add_notes
3822:debug:main No need to upgrade! xz 5.4.7_0 >= xz 5.4.7_0
3823:debug:main epoch: in tree: 0 installed: 0
3824:debug:main zstd 1.5.6_0 exists in the ports tree
3825:debug:main zstd 1.5.6_0  is the latest installed
3826:debug:main zstd 1.5.6_0  is active
3827:debug:main Merging existing requested variants '' into variants
3828:debug:main new fully merged portvariants:
3829:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/archivers/zstd
3830:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3831:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
3832:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
3833:debug:main Sourcing PortGroup compiler_wrapper 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_wrapper-1.0.tcl
3834:debug:main Re-registering default for compwrap.add_compiler_flags
3835:debug:main Re-registering default for use_configure
3836:debug:main Re-registering default for universal_variant
3837:debug:main Sourcing PortGroup makefile 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/makefile-1.0.tcl
3838:debug:main Re-registering default for configure.universal_args
3839:debug:main Re-registering default for configure.cc_archflags
3840:debug:main Re-registering default for configure.objc_archflags
3841:debug:main Re-registering default for configure.cxx_archflags
3842:debug:main Re-registering default for configure.objcxx_archflags
3843:debug:main Re-registering default for configure.fc_archflags
3844:debug:main Re-registering default for configure.f90_archflags
3845:debug:main Re-registering default for configure.f77_archflags
3846:debug:main Re-registering default for configure.ld_archflags
3847:debug:main Re-registering default for configure.universal_cflags
3848:debug:main Re-registering default for configure.universal_objcflags
3849:debug:main Re-registering default for configure.universal_cxxflags
3850:debug:main Re-registering default for configure.universal_objcxxflags
3851:debug:main Re-registering default for configure.universal_cppflags
3852:debug:main Re-registering default for configure.universal_ldflags
3853:debug:main Sourcing PortGroup muniversal 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.1.tcl
3854:debug:main Re-registering default for extract.rename
3855:debug:main Re-registering default for livecheck.url
3856:debug:main Re-registering default for livecheck.regex
3857:debug:main Re-registering default for extract.rename
3858:debug:main muniversal: adding universal variant
3859:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3860:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3861:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3862:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3863:debug:main Running callback portstartupitem::add_notes
3864:debug:main Finished running callback portstartupitem::add_notes
3865:debug:main Running callback compwrap::configure_envs
3866:debug:main Finished running callback compwrap::configure_envs
3867:debug:main Running callback makefile_pg::makefile_setup
3868:debug:main Finished running callback makefile_pg::makefile_setup
3869:debug:main Running callback muniversal::add_compiler_flags
3870:debug:main Finished running callback muniversal::add_compiler_flags
3871:debug:main No need to upgrade! zstd 1.5.6_0 >= zstd 1.5.6_0
3872:debug:main epoch: in tree: 0 installed: 0
3873:debug:main lz4 1.10.0_0 exists in the ports tree
3874:debug:main lz4 1.10.0_0  is the latest installed
3875:debug:main lz4 1.10.0_0  is active
3876:debug:main Merging existing requested variants '' into variants
3877:debug:main new fully merged portvariants:
3878:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/archivers/lz4
3879:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3880:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
3881:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
3882:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
3883:debug:main Re-registering default for extract.rename
3884:debug:main Re-registering default for livecheck.url
3885:debug:main Re-registering default for livecheck.regex
3886:debug:main Re-registering default for extract.rename
3887:debug:main muniversal: adding universal variant
3888:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3889:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3890:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3891:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3892:debug:main Running callback portstartupitem::add_notes
3893:debug:main Finished running callback portstartupitem::add_notes
3894:debug:main No need to upgrade! lz4 1.10.0_0 >= lz4 1.10.0_0
3895:debug:main epoch: in tree: 0 installed: 0
3896:debug:main fontconfig 2.15.0_0 exists in the ports tree
3897:debug:main fontconfig 2.15.0_0  is the latest installed
3898:debug:main fontconfig 2.15.0_0  is active
3899:debug:main Merging existing requested variants '' into variants
3900:debug:main new fully merged portvariants:
3901:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/fontconfig
3902:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3903:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
3904:debug:main muniversal: adding universal variant
3905:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3906:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3907:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3908:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3909:debug:main Running callback portstartupitem::add_notes
3910:debug:main Finished running callback portstartupitem::add_notes
3911:debug:main No need to upgrade! fontconfig 2.15.0_0 >= fontconfig 2.15.0_0
3912:debug:main epoch: in tree: 0 installed: 0
3913:debug:main expat 2.6.2_0 exists in the ports tree
3914:debug:main expat 2.6.2_0  is the latest installed
3915:debug:main expat 2.6.2_0  is active
3916:debug:main Merging existing requested variants '' into variants
3917:debug:main new fully merged portvariants:
3918:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/textproc/expat
3919:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3920:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
3921:debug:main muniversal: adding universal variant
3922:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3923:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3924:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3925:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3926:debug:main Running callback portstartupitem::add_notes
3927:debug:main Finished running callback portstartupitem::add_notes
3928:debug:main No need to upgrade! expat 2.6.2_0 >= expat 2.6.2_0
3929:debug:main epoch: in tree: 0 installed: 0
3930:debug:main freetype 2.13.3_0 exists in the ports tree
3931:debug:main freetype 2.13.3_0  is the latest installed
3932:debug:main freetype 2.13.3_0  is active
3933:debug:main Merging existing requested variants '' into variants
3934:debug:main new fully merged portvariants:
3935:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/print/freetype
3936:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3937:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
3938:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
3939:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 500}
3940:debug:main muniversal: adding universal variant
3941:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3942:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3943:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3944:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3945:debug:main Running callback portstartupitem::add_notes
3946:debug:main Finished running callback portstartupitem::add_notes
3947:debug:main No need to upgrade! freetype 2.13.3_0 >= freetype 2.13.3_0
3948:debug:main epoch: in tree: 0 installed: 0
3949:debug:main brotli 1.1.0_0 exists in the ports tree
3950:debug:main brotli 1.1.0_0  is the latest installed
3951:debug:main brotli 1.1.0_0  is active
3952:debug:main Merging existing requested variants '' into variants
3953:debug:main new fully merged portvariants:
3954:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/archivers/brotli
3955:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3956:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
3957:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
3958:debug:main Re-registering default for configure.dir
3959:debug:main Re-registering default for configure.pre_args
3960:debug:main Re-registering default for build.dir
3961:debug:main Re-registering default for destroot.target
3962:debug:main Sourcing PortGroup cmake 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/cmake-1.0.tcl
3963:debug:main Re-registering default for extract.rename
3964:debug:main Re-registering default for livecheck.url
3965:debug:main Re-registering default for livecheck.regex
3966:debug:main adding the default universal variant
3967:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3968:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3969:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3970:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3971:debug:main Running callback portstartupitem::add_notes
3972:debug:main Finished running callback portstartupitem::add_notes
3973:debug:main No need to upgrade! brotli 1.1.0_0 >= brotli 1.1.0_0
3974:debug:main epoch: in tree: 0 installed: 0
3975:debug:main bzip2 1.0.8_0 exists in the ports tree
3976:debug:main bzip2 1.0.8_0  is the latest installed
3977:debug:main bzip2 1.0.8_0  is active
3978:debug:main Merging existing requested variants '' into variants
3979:debug:main new fully merged portvariants:
3980:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/archivers/bzip2
3981:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3982:debug:main universal variant already exists, so not adding the default one
3983:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
3984:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
3985:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
3986:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
3987:debug:main Running callback portstartupitem::add_notes
3988:debug:main Finished running callback portstartupitem::add_notes
3989:debug:main No need to upgrade! bzip2 1.0.8_0 >= bzip2 1.0.8_0
3990:debug:main epoch: in tree: 0 installed: 0
3991:debug:main ossp-uuid 1.6.2_13 exists in the ports tree
3992:debug:main ossp-uuid 1.6.2_13 +perl5_34 is the latest installed
3993:debug:main ossp-uuid 1.6.2_13 +perl5_34 is active
3994:debug:main Merging existing requested variants '' into variants
3995:debug:main new fully merged portvariants:
3996:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/ossp-uuid
3997:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
3998:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
3999:debug:main Re-registering default for configure.universal_args
4000:debug:main Sourcing PortGroup perl5 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/perl5-1.0.tcl
4001:debug:main muniversal: adding universal variant
4002:debug:main Executing variant perl5_34 provides perl5_34
4003:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4004:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4005:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4006:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4007:debug:main Running callback portstartupitem::add_notes
4008:debug:main Finished running callback portstartupitem::add_notes
4009:debug:main No need to upgrade! ossp-uuid 1.6.2_13 >= ossp-uuid 1.6.2_13
4010:debug:main epoch: in tree: 0 installed: 0
4011:debug:main gd2 2.3.3_7 exists in the ports tree
4012:debug:main gd2 2.3.3_7 +x11 is the latest installed
4013:debug:main gd2 2.3.3_7 +x11 is active
4014:debug:main Merging existing requested variants '' into variants
4015:debug:main new fully merged portvariants:
4016:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/gd2
4017:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4018:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
4019:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
4020:debug:main Removing legacy build support
4021:debug:main Sourcing PortGroup legacysupport 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/legacysupport-1.0.tcl
4022:debug:main Re-registering default for extract.rename
4023:debug:main Re-registering default for livecheck.url
4024:debug:main Re-registering default for livecheck.regex
4025:debug:main Re-registering default for extract.rename
4026:debug:main muniversal: adding universal variant
4027:debug:main Executing variant x11 provides x11
4028:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4029:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4030:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4031:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4032:debug:main Running callback portstartupitem::add_notes
4033:debug:main Finished running callback portstartupitem::add_notes
4034:debug:main Running callback add_legacysupport
4035:debug:main Removing legacy build support
4036:debug:main Finished running callback add_legacysupport
4037:debug:main No need to upgrade! gd2 2.3.3_7 >= gd2 2.3.3_7
4038:debug:main epoch: in tree: 0 installed: 0
4039:debug:main libheif 1.18.2_1 exists in the ports tree
4040:debug:main libheif 1.18.2_1 +kvazaar is the latest installed
4041:debug:main libheif 1.18.2_1 +kvazaar is active
4042:debug:main Merging existing requested variants '' into variants
4043:debug:main new fully merged portvariants:
4044:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/multimedia/libheif
4045:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4046:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
4047:debug:main Re-registering default for destroot.target
4048:debug:main Re-registering default for configure.dir
4049:debug:main Re-registering default for build.dir
4050:debug:main Re-registering default for configure.pre_args
4051:debug:main Sourcing PortGroup cmake 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/cmake-1.1.tcl
4052:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
4053:debug:main Re-registering default for extract.rename
4054:debug:main Re-registering default for livecheck.url
4055:debug:main Re-registering default for livecheck.regex
4056:debug:main Re-registering default for extract.rename
4057:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 700}
4058:debug:main adding the default universal variant
4059:debug:main Executing variant kvazaar provides kvazaar
4060:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4061:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4062:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4063:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4064:debug:main Running callback portstartupitem::add_notes
4065:debug:main Finished running callback portstartupitem::add_notes
4066:debug:main No need to upgrade! libheif 1.18.2_1 >= libheif 1.18.2_1
4067:debug:main epoch: in tree: 0 installed: 0
4068:debug:main aom 3.9.1_0 exists in the ports tree
4069:debug:main aom 3.9.1_0  is the latest installed
4070:debug:main aom 3.9.1_0  is active
4071:debug:main Merging existing requested variants '' into variants
4072:debug:main new fully merged portvariants:
4073:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/multimedia/aom
4074:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4075:debug:main Re-registering default for destroot.target
4076:debug:main Re-registering default for configure.dir
4077:debug:main Re-registering default for build.dir
4078:debug:main Re-registering default for configure.pre_args
4079:debug:main Sourcing PortGroup cmake 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/cmake-1.1.tcl
4080:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
4081:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
4082:debug:main Sourcing PortGroup legacysupport 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/legacysupport-1.1.tcl
4083:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
4084:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
4085:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
4086:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
4087:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
4088:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 800}
4089:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 1300}
4090:debug:main muniversal: adding universal variant
4091:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4092:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4093:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4094:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4095:debug:main Running callback portstartupitem::add_notes
4096:debug:main Finished running callback portstartupitem::add_notes
4097:debug:main Running callback legacysupport::add_legacysupport
4098:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
4099:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
4100:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
4101:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
4102:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
4103:debug:main Finished running callback legacysupport::add_legacysupport
4104:debug:main No need to upgrade! aom 3.9.1_0 >= aom 3.9.1_0
4105:debug:main epoch: in tree: 0 installed: 0
4106:debug:main dav1d 1.4.3_0 exists in the ports tree
4107:debug:main dav1d 1.4.3_0  is the latest installed
4108:debug:main dav1d 1.4.3_0  is active
4109:debug:main Merging existing requested variants '' into variants
4110:debug:main new fully merged portvariants:
4111:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/multimedia/dav1d
4112:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4113:debug:main Re-registering default for configure.cmd
4114:debug:main Re-registering default for configure.pre_args
4115:debug:main Re-registering default for build.dir
4116:debug:main Re-registering default for build.cmd
4117:debug:main Re-registering default for build.target
4118:debug:main Re-registering default for destroot.cmd
4119:debug:main Re-registering default for destroot.post_args
4120:debug:main Sourcing PortGroup meson 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/meson-1.0.tcl
4121:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
4122:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
4123:debug:main Sourcing PortGroup legacysupport 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/legacysupport-1.1.tcl
4124:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
4125:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
4126:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
4127:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
4128:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
4129:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match { clang < 700 }
4130:debug:main muniversal: adding universal variant
4131:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4132:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4133:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4134:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4135:debug:main Running callback portstartupitem::add_notes
4136:debug:main Finished running callback portstartupitem::add_notes
4137:debug:main Running callback meson::add_depends
4138:debug:main Finished running callback meson::add_depends
4139:debug:main Running callback legacysupport::add_legacysupport
4140:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
4141:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
4142:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
4143:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
4144:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
4145:debug:main Finished running callback legacysupport::add_legacysupport
4146:debug:main No need to upgrade! dav1d 1.4.3_0 >= dav1d 1.4.3_0
4147:debug:main epoch: in tree: 2 installed: 2
4148:debug:main gdk-pixbuf2 2.42.12_0 exists in the ports tree
4149:debug:main gdk-pixbuf2 2.42.12_0  is the latest installed
4150:debug:main gdk-pixbuf2 2.42.12_0  is active
4151:debug:main Merging existing requested variants '' into variants
4152:debug:main new fully merged portvariants:
4153:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/gdk-pixbuf2
4154:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4155:debug:main Re-registering default for configure.cmd
4156:debug:main Re-registering default for configure.pre_args
4157:debug:main Re-registering default for build.dir
4158:debug:main Re-registering default for build.cmd
4159:debug:main Re-registering default for build.target
4160:debug:main Re-registering default for destroot.cmd
4161:debug:main Re-registering default for destroot.post_args
4162:debug:main Sourcing PortGroup meson 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/meson-1.0.tcl
4163:debug:main Sourcing PortGroup legacysupport 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/legacysupport-1.1.tcl
4164:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
4165:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
4166:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
4167:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
4168:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
4169:debug:main adding the default universal variant
4170:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4171:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4172:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4173:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4174:debug:main Running callback portstartupitem::add_notes
4175:debug:main Finished running callback portstartupitem::add_notes
4176:debug:main Running callback meson::add_depends
4177:debug:main Finished running callback meson::add_depends
4178:debug:main Running callback legacysupport::add_legacysupport
4179:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
4180:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
4181:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
4182:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
4183:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
4184:debug:main Finished running callback legacysupport::add_legacysupport
4185:debug:main No need to upgrade! gdk-pixbuf2 2.42.12_0 >= gdk-pixbuf2 2.42.12_0
4186:debug:main epoch: in tree: 1 installed: 1
4187:debug:main glib2 2.78.4_1 exists in the ports tree
4188:debug:main glib2 2.78.4_1 +x11 is the latest installed
4189:debug:main glib2 2.78.4_1 +x11 is active
4190:debug:main Merging existing requested variants '' into variants
4191:debug:main new fully merged portvariants:
4192:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/glib2
4193:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4194:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
4195:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
4196:debug:main Sourcing PortGroup conflicts_build 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/conflicts_build-1.0.tcl
4197:debug:main pg_debug: adding variants
4198:debug:main Sourcing PortGroup debug 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/debug-1.0.tcl
4199:debug:main Sourcing PortGroup legacysupport 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/legacysupport-1.1.tcl
4200:debug:main Re-registering default for configure.cmd
4201:debug:main Re-registering default for configure.pre_args
4202:debug:main Re-registering default for build.dir
4203:debug:main Re-registering default for build.cmd
4204:debug:main Re-registering default for build.target
4205:debug:main Re-registering default for destroot.cmd
4206:debug:main Re-registering default for destroot.post_args
4207:debug:main Sourcing PortGroup meson 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/meson-1.0.tcl
4208:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
4209:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
4210:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
4211:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
4212:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
4213:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
4214:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 700}
4215:debug:main port_conflict_check: Checking for conflict against port: gobject-introspection
4216:debug:main port_conflict_check: gobject-introspection active version: 1.78.1_3
4217:debug:main port_conflict_check: gobject-introspection does not conflict
4218:debug:main port_conflict_check: Checking for conflict against port: gobject-introspection-devel
4219:debug:main muniversal: adding universal variant
4220:debug:main Executing variant x11 provides x11
4221:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4222:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4223:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4224:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4225:debug:main Running callback portstartupitem::add_notes
4226:debug:main Finished running callback portstartupitem::add_notes
4227:debug:main Running callback debug::pg_callback
4228:debug:main debug::pg_callback: debug mode: disabled
4229:debug:main Finished running callback debug::pg_callback
4230:debug:main Running callback legacysupport::add_legacysupport
4231:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
4232:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
4233:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
4234:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
4235:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
4236:debug:main Finished running callback legacysupport::add_legacysupport
4237:debug:main Running callback meson::add_depends
4238:debug:main Finished running callback meson::add_depends
4239:debug:main No need to upgrade! glib2 2.78.4_1 >= glib2 2.78.4_1
4240:debug:main epoch: in tree: 0 installed: 0
4241:debug:main libelf 0.8.13_2 exists in the ports tree
4242:debug:main libelf 0.8.13_2  is the latest installed
4243:debug:main libelf 0.8.13_2  is active
4244:debug:main Merging existing requested variants '' into variants
4245:debug:main new fully merged portvariants:
4246:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/libelf
4247:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4248:debug:main adding the default universal variant
4249:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4250:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4251:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4252:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4253:debug:main Running callback portstartupitem::add_notes
4254:debug:main Finished running callback portstartupitem::add_notes
4255:debug:main No need to upgrade! libelf 0.8.13_2 >= libelf 0.8.13_2
4256:debug:main epoch: in tree: 0 installed: 0
4257:debug:main libffi 3.4.6_1 exists in the ports tree
4258:debug:main libffi 3.4.6_1  is the latest installed
4259:debug:main libffi 3.4.6_1  is active
4260:debug:main Merging existing requested variants '' into variants
4261:debug:main new fully merged portvariants:
4262:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/libffi
4263:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4264:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
4265:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
4266:debug:main Re-registering default for extract.rename
4267:debug:main Re-registering default for livecheck.url
4268:debug:main Re-registering default for livecheck.regex
4269:debug:main Re-registering default for extract.rename
4270:debug:main muniversal: adding universal variant
4271:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4272:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4273:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4274:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4275:debug:main Running callback portstartupitem::add_notes
4276:debug:main Finished running callback portstartupitem::add_notes
4277:debug:main No need to upgrade! libffi 3.4.6_1 >= libffi 3.4.6_1
4278:debug:main epoch: in tree: 0 installed: 0
4279:debug:main pcre2 10.44_0 exists in the ports tree
4280:debug:main pcre2 10.44_0  is the latest installed
4281:debug:main pcre2 10.44_0  is active
4282:debug:main Merging existing requested variants '' into variants
4283:debug:main new fully merged portvariants:
4284:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/pcre
4285:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4286:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
4287:debug:main Re-registering default for extract.rename
4288:debug:main Re-registering default for livecheck.url
4289:debug:main Re-registering default for livecheck.regex
4290:debug:main Re-registering default for extract.rename
4291:debug:main universal variant already exists, so not adding the default one
4292:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4293:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4294:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4295:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4296:debug:main Running callback portstartupitem::add_notes
4297:debug:main Finished running callback portstartupitem::add_notes
4298:debug:main No need to upgrade! pcre2 10.44_0 >= pcre2 10.44_0
4299:debug:main epoch: in tree: 20090923 installed: 20090923
4300:debug:main libedit 20240808-3.1_0 exists in the ports tree
4301:debug:main libedit 20240808-3.1_0  is the latest installed
4302:debug:main libedit 20240808-3.1_0  is active
4303:debug:main Merging existing requested variants '' into variants
4304:debug:main new fully merged portvariants:
4305:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/libedit
4306:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4307:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
4308:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
4309:debug:main muniversal: adding universal variant
4310:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4311:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4312:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4313:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4314:debug:main Running callback portstartupitem::add_notes
4315:debug:main Finished running callback portstartupitem::add_notes
4316:debug:main No need to upgrade! libedit 20240808-3.1_0 >= libedit 20240808-3.1_0
4317:debug:main epoch: in tree: 0 installed: 0
4318:debug:main python312 3.12.6_0 exists in the ports tree
4319:debug:main python312 3.12.6_0 +lto+optimizations is the latest installed
4320:debug:main python312 3.12.6_0 +lto+optimizations is active
4321:debug:main Merging existing requested variants '' into variants
4322:debug:main new fully merged portvariants:
4323:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/lang/python312
4324:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4325:debug:main universal variant already exists, so not adding the default one
4326:debug:main Executing variant optimizations provides optimizations
4327:debug:main Executing variant lto provides lto
4328:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4329:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4330:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4331:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4332:debug:main Running callback portstartupitem::add_notes
4333:debug:main Finished running callback portstartupitem::add_notes
4334:debug:main No need to upgrade! python312 3.12.6_0 >= python312 3.12.6_0
4335:debug:main epoch: in tree: 2 installed: 2
4336:debug:main openssl 3_20 exists in the ports tree
4337:debug:main openssl 3_20  is the latest installed
4338:debug:main openssl 3_20  is active
4339:debug:main Merging existing requested variants '' into variants
4340:debug:main new fully merged portvariants:
4341:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/openssl
4342:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4343:debug:main Sourcing PortGroup compiler_wrapper 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_wrapper-1.0.tcl
4344:debug:main Sourcing PortGroup openssl 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/openssl-1.0.tcl
4345:debug:main openssl: Set OpenSSL Branch dependency 3
4346:debug:main openssl: configure_proc set : Configure ''
4347:debug:main adding the default universal variant
4348:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4349:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4350:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4351:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4352:debug:main Running callback portstartupitem::add_notes
4353:debug:main Finished running callback portstartupitem::add_notes
4354:debug:main Running callback compwrap::configure_envs
4355:debug:main Finished running callback compwrap::configure_envs
4356:debug:main Running callback openssl::set_openssl_dependency
4357:debug:main openssl: Set OpenSSL Branch dependency 3
4358:debug:main Finished running callback openssl::set_openssl_dependency
4359:debug:main Running callback openssl::check_for_cmake
4360:debug:main Finished running callback openssl::check_for_cmake
4361:debug:main Running callback openssl::configure_build
4362:debug:main Finished running callback openssl::configure_build
4363:debug:main No need to upgrade! openssl 3_20 >= openssl 3_20
4364:debug:main epoch: in tree: 1 installed: 1
4365:debug:main openssl3 3.3.2_0 exists in the ports tree
4366:debug:main openssl3 3.3.2_0  is the latest installed
4367:debug:main openssl3 3.3.2_0  is active
4368:debug:main Merging existing requested variants '' into variants
4369:debug:main new fully merged portvariants:
4370:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/openssl3
4371:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4372:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
4373:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
4374:debug:main Sourcing PortGroup legacysupport 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/legacysupport-1.1.tcl
4375:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
4376:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
4377:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
4378:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
4379:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
4380:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
4381:debug:main Re-registering default for extract.rename
4382:debug:main Re-registering default for livecheck.url
4383:debug:main Re-registering default for livecheck.regex
4384:debug:main Re-registering default for extract.rename
4385:debug:main muniversal: adding universal variant
4386:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4387:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4388:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4389:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4390:debug:main Running callback portstartupitem::add_notes
4391:debug:main Finished running callback portstartupitem::add_notes
4392:debug:main Running callback legacysupport::add_legacysupport
4393:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
4394:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
4395:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
4396:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
4397:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
4398:debug:main Finished running callback legacysupport::add_legacysupport
4399:debug:main No need to upgrade! openssl3 3.3.2_0 >= openssl3 3.3.2_0
4400:debug:main epoch: in tree: 0 installed: 0
4401:debug:main sqlite3 3.46.1_0 exists in the ports tree
4402:debug:main sqlite3 3.46.1_0  is the latest installed
4403:debug:main sqlite3 3.46.1_0  is active
4404:debug:main Merging existing requested variants '' into variants
4405:debug:main new fully merged portvariants:
4406:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/databases/sqlite3
4407:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4408:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
4409:debug:main adding the default universal variant
4410:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4411:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4412:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4413:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4414:debug:main Running callback portstartupitem::add_notes
4415:debug:main Finished running callback portstartupitem::add_notes
4416:debug:main No need to upgrade! sqlite3 3.46.1_0 >= sqlite3 3.46.1_0
4417:debug:main epoch: in tree: 0 installed: 0
4418:debug:main python_select-312 0.3_1 exists in the ports tree
4419:debug:main python_select-312 0.3_1  is the latest installed
4420:debug:main python_select-312 0.3_1  is active
4421:debug:main Merging existing requested variants '' into variants
4422:debug:main new fully merged portvariants:
4423:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/sysutils/python_select
4424:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4425:debug:main Sourcing PortGroup select 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/select-1.0.tcl
4426:debug:main universal_variant is false, so not adding the default universal variant
4427:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4428:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4429:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4430:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4431:debug:main Running callback portstartupitem::add_notes
4432:debug:main Finished running callback portstartupitem::add_notes
4433:debug:main No need to upgrade! python_select-312 0.3_1 >= python_select-312 0.3_1
4434:debug:main epoch: in tree: 0 installed: 0
4435:debug:main python_select 0.3_10 exists in the ports tree
4436:debug:main python_select 0.3_10  is the latest installed
4437:debug:main python_select 0.3_10  is active
4438:debug:main Merging existing requested variants '' into variants
4439:debug:main new fully merged portvariants:
4440:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/sysutils/python_select
4441:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4442:debug:main Sourcing PortGroup select 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/select-1.0.tcl
4443:debug:main universal_variant is false, so not adding the default universal variant
4444:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4445:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4446:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4447:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4448:debug:main Running callback portstartupitem::add_notes
4449:debug:main Finished running callback portstartupitem::add_notes
4450:debug:main No need to upgrade! python_select 0.3_10 >= python_select 0.3_10
4451:debug:main epoch: in tree: 0 installed: 0
4452:debug:main python3_select-312 0.1_1 exists in the ports tree
4453:debug:main python3_select-312 0.1_1  is the latest installed
4454:debug:main python3_select-312 0.1_1  is active
4455:debug:main Merging existing requested variants '' into variants
4456:debug:main new fully merged portvariants:
4457:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/sysutils/python3_select
4458:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4459:debug:main Sourcing PortGroup select 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/select-1.0.tcl
4460:debug:main universal_variant is false, so not adding the default universal variant
4461:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4462:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4463:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4464:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4465:debug:main Running callback portstartupitem::add_notes
4466:debug:main Finished running callback portstartupitem::add_notes
4467:debug:main No need to upgrade! python3_select-312 0.1_1 >= python3_select-312 0.1_1
4468:debug:main epoch: in tree: 0 installed: 0
4469:debug:main python3_select 0.1_0 exists in the ports tree
4470:debug:main python3_select 0.1_0  is the latest installed
4471:debug:main python3_select 0.1_0  is active
4472:debug:main Merging existing requested variants '' into variants
4473:debug:main new fully merged portvariants:
4474:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/sysutils/python3_select
4475:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4476:debug:main Sourcing PortGroup select 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/select-1.0.tcl
4477:debug:main universal_variant is false, so not adding the default universal variant
4478:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4479:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4480:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4481:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4482:debug:main Running callback portstartupitem::add_notes
4483:debug:main Finished running callback portstartupitem::add_notes
4484:debug:main No need to upgrade! python3_select 0.1_0 >= python3_select 0.1_0
4485:debug:main epoch: in tree: 0 installed: 0
4486:debug:main py312-packaging 24.1_0 exists in the ports tree
4487:debug:main py312-packaging 24.1_0  is the latest installed
4488:debug:main py312-packaging 24.1_0  is active
4489:debug:main Merging existing requested variants '' into variants
4490:debug:main new fully merged portvariants:
4491:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/python/py-packaging
4492:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4493:debug:main Re-registering default for distname
4494:debug:main Re-registering default for build.cmd
4495:debug:main Re-registering default for build.target
4496:debug:main Re-registering default for destroot.cmd
4497:debug:main Re-registering default for destroot.destdir
4498:debug:main Re-registering default for destroot.target
4499:debug:main Re-registering default for test.cmd
4500:debug:main Re-registering default for test.target
4501:debug:main Sourcing PortGroup python 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/python-1.0.tcl
4502:debug:main only one arch supported, so not adding the default universal variant
4503:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4504:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4505:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4506:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4507:debug:main Running callback portstartupitem::add_notes
4508:debug:main Finished running callback portstartupitem::add_notes
4509:debug:main Running callback python_add_dependencies
4510:debug:main Finished running callback python_add_dependencies
4511:debug:main No need to upgrade! py312-packaging 24.1_0 >= py312-packaging 24.1_0
4512:debug:main epoch: in tree: 1 installed: 1
4513:debug:main gobject-introspection 1.78.1_3 exists in the ports tree
4514:debug:main gobject-introspection 1.78.1_3  is the latest installed
4515:debug:main gobject-introspection 1.78.1_3  is active
4516:debug:main Merging existing requested variants '' into variants
4517:debug:main new fully merged portvariants:
4518:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/gnome/gobject-introspection
4519:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4520:debug:main Re-registering default for configure.cmd
4521:debug:main Re-registering default for configure.pre_args
4522:debug:main Re-registering default for build.dir
4523:debug:main Re-registering default for build.cmd
4524:debug:main Re-registering default for build.target
4525:debug:main Re-registering default for destroot.cmd
4526:debug:main Re-registering default for destroot.post_args
4527:debug:main Sourcing PortGroup meson 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/meson-1.0.tcl
4528:debug:main Sourcing PortGroup active_variants 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/active_variants-1.1.tcl
4529:debug:main adding the default universal variant
4530:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4531:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4532:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4533:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4534:debug:main Running callback portstartupitem::add_notes
4535:debug:main Finished running callback portstartupitem::add_notes
4536:debug:main Running callback meson::add_depends
4537:debug:main Finished running callback meson::add_depends
4538:debug:main No need to upgrade! gobject-introspection 1.78.1_3 >= gobject-introspection 1.78.1_3
4539:debug:main epoch: in tree: 0 installed: 0
4540:debug:main cairo 1.17.6_2 exists in the ports tree
4541:debug:main cairo 1.17.6_2 +quartz+x11 is the latest installed
4542:debug:main cairo 1.17.6_2 +quartz+x11 is active
4543:debug:main Merging existing requested variants '' into variants
4544:debug:main new fully merged portvariants:
4545:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/cairo
4546:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4547:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
4548:debug:main pg_debug: adding variants
4549:debug:main Sourcing PortGroup debug 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/debug-1.0.tcl
4550:debug:main Sourcing PortGroup legacysupport 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/legacysupport-1.1.tcl
4551:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
4552:debug:main Sourcing PortGroup xcodeversion 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/xcodeversion-1.0.tcl
4553:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
4554:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
4555:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
4556:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
4557:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
4558:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 318.0.61}
4559:debug:main muniversal: adding universal variant
4560:debug:main Executing variant quartz provides quartz
4561:debug:main Executing variant x11 provides x11
4562:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4563:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4564:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4565:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4566:debug:main Running callback portstartupitem::add_notes
4567:debug:main Finished running callback portstartupitem::add_notes
4568:debug:main Running callback debug::pg_callback
4569:debug:main debug::pg_callback: debug mode: disabled
4570:debug:main Finished running callback debug::pg_callback
4571:debug:main Running callback legacysupport::add_legacysupport
4572:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
4573:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
4574:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
4575:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
4576:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
4577:debug:main Finished running callback legacysupport::add_legacysupport
4578:debug:main No need to upgrade! cairo 1.17.6_2 >= cairo 1.17.6_2
4579:debug:main epoch: in tree: 0 installed: 0
4580:debug:main libpixman 0.43.4_1 exists in the ports tree
4581:debug:main libpixman 0.43.4_1  is the latest installed
4582:debug:main libpixman 0.43.4_1  is active
4583:debug:main Merging existing requested variants '' into variants
4584:debug:main new fully merged portvariants:
4585:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/libpixman
4586:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4587:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
4588:debug:main Sourcing PortGroup legacysupport 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/legacysupport-1.1.tcl
4589:debug:main Re-registering default for configure.cmd
4590:debug:main Re-registering default for configure.pre_args
4591:debug:main Re-registering default for build.dir
4592:debug:main Re-registering default for build.cmd
4593:debug:main Re-registering default for build.target
4594:debug:main Re-registering default for destroot.cmd
4595:debug:main Re-registering default for destroot.post_args
4596:debug:main Sourcing PortGroup meson 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/meson-1.0.tcl
4597:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
4598:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
4599:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
4600:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
4601:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
4602:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
4603:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 211.10.1}
4604:debug:main muniversal: adding universal variant
4605:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4606:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4607:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4608:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4609:debug:main Running callback portstartupitem::add_notes
4610:debug:main Finished running callback portstartupitem::add_notes
4611:debug:main Running callback legacysupport::add_legacysupport
4612:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
4613:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
4614:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
4615:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
4616:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
4617:debug:main Finished running callback legacysupport::add_legacysupport
4618:debug:main Running callback meson::add_depends
4619:debug:main Finished running callback meson::add_depends
4620:debug:main No need to upgrade! libpixman 0.43.4_1 >= libpixman 0.43.4_1
4621:debug:main epoch: in tree: 0 installed: 0
4622:debug:main xrender 0.9.11_0 exists in the ports tree
4623:debug:main xrender 0.9.11_0  is the latest installed
4624:debug:main xrender 0.9.11_0  is active
4625:debug:main Merging existing requested variants '' into variants
4626:debug:main new fully merged portvariants:
4627:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xrender
4628:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4629:debug:main adding the default universal variant
4630:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4631:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4632:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4633:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4634:debug:main Running callback portstartupitem::add_notes
4635:debug:main Finished running callback portstartupitem::add_notes
4636:debug:main No need to upgrade! xrender 0.9.11_0 >= xrender 0.9.11_0
4637:debug:main epoch: in tree: 0 installed: 0
4638:debug:main xorg-libX11 1.8.10_0 exists in the ports tree
4639:debug:main xorg-libX11 1.8.10_0  is the latest installed
4640:debug:main xorg-libX11 1.8.10_0  is active
4641:debug:main Merging existing requested variants '' into variants
4642:debug:main new fully merged portvariants:
4643:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-libX11
4644:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4645:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
4646:debug:main adding the default universal variant
4647:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4648:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4649:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4650:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4651:debug:main Running callback portstartupitem::add_notes
4652:debug:main Finished running callback portstartupitem::add_notes
4653:debug:main No need to upgrade! xorg-libX11 1.8.10_0 >= xorg-libX11 1.8.10_0
4654:debug:main epoch: in tree: 0 installed: 0
4655:debug:main xorg-libXdmcp 1.1.5_0 exists in the ports tree
4656:debug:main xorg-libXdmcp 1.1.5_0  is the latest installed
4657:debug:main xorg-libXdmcp 1.1.5_0  is active
4658:debug:main Merging existing requested variants '' into variants
4659:debug:main new fully merged portvariants:
4660:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-libXdmcp
4661:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4662:debug:main adding the default universal variant
4663:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4664:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4665:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4666:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4667:debug:main Running callback portstartupitem::add_notes
4668:debug:main Finished running callback portstartupitem::add_notes
4669:debug:main No need to upgrade! xorg-libXdmcp 1.1.5_0 >= xorg-libXdmcp 1.1.5_0
4670:debug:main epoch: in tree: 0 installed: 0
4671:debug:main xorg-libXau 1.0.11_0 exists in the ports tree
4672:debug:main xorg-libXau 1.0.11_0  is the latest installed
4673:debug:main xorg-libXau 1.0.11_0  is active
4674:debug:main Merging existing requested variants '' into variants
4675:debug:main new fully merged portvariants:
4676:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-libXau
4677:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4678:debug:main adding the default universal variant
4679:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4680:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4681:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4682:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4683:debug:main Running callback portstartupitem::add_notes
4684:debug:main Finished running callback portstartupitem::add_notes
4685:debug:main No need to upgrade! xorg-libXau 1.0.11_0 >= xorg-libXau 1.0.11_0
4686:debug:main epoch: in tree: 1 installed: 1
4687:debug:main xorg-xorgproto 2024.1_0 exists in the ports tree
4688:debug:main xorg-xorgproto 2024.1_0  is the latest installed
4689:debug:main xorg-xorgproto 2024.1_0  is active
4690:debug:main Merging existing requested variants '' into variants
4691:debug:main new fully merged portvariants:
4692:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-xorgproto
4693:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4694:debug:main only one arch supported, so not adding the default universal variant
4695:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4696:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4697:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4698:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4699:debug:main Running callback portstartupitem::add_notes
4700:debug:main Finished running callback portstartupitem::add_notes
4701:debug:main No need to upgrade! xorg-xorgproto 2024.1_0 >= xorg-xorgproto 2024.1_0
4702:debug:main epoch: in tree: 0 installed: 0
4703:debug:main xorg-libxcb 1.17.0_0 exists in the ports tree
4704:debug:main xorg-libxcb 1.17.0_0 +python312 is the latest installed
4705:debug:main xorg-libxcb 1.17.0_0 +python312 is active
4706:debug:main Merging existing requested variants '' into variants
4707:debug:main new fully merged portvariants:
4708:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-libxcb
4709:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4710:debug:main adding the default universal variant
4711:debug:main Executing variant python312 provides python312
4712:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4713:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4714:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4715:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4716:debug:main Running callback portstartupitem::add_notes
4717:debug:main Finished running callback portstartupitem::add_notes
4718:debug:main No need to upgrade! xorg-libxcb 1.17.0_0 >= xorg-libxcb 1.17.0_0
4719:debug:main epoch: in tree: 0 installed: 0
4720:debug:main xorg-xcb-proto 1.17.0_0 exists in the ports tree
4721:debug:main xorg-xcb-proto 1.17.0_0 +python312 is the latest installed
4722:debug:main xorg-xcb-proto 1.17.0_0 +python312 is active
4723:debug:main Merging existing requested variants '' into variants
4724:debug:main new fully merged portvariants:
4725:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-xcb-proto
4726:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4727:debug:main only one arch supported, so not adding the default universal variant
4728:debug:main Executing variant python312 provides python312
4729:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4730:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4731:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4732:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4733:debug:main Running callback portstartupitem::add_notes
4734:debug:main Finished running callback portstartupitem::add_notes
4735:debug:main No need to upgrade! xorg-xcb-proto 1.17.0_0 >= xorg-xcb-proto 1.17.0_0
4736:debug:main epoch: in tree: 0 installed: 0
4737:debug:main libxml2 2.13.3_0 exists in the ports tree
4738:debug:main libxml2 2.13.3_0  is the latest installed
4739:debug:main libxml2 2.13.3_0  is active
4740:debug:main Merging existing requested variants '' into variants
4741:debug:main new fully merged portvariants:
4742:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/textproc/libxml2
4743:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4744:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
4745:debug:main adding the default universal variant
4746:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4747:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4748:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4749:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4750:debug:main Running callback portstartupitem::add_notes
4751:debug:main Finished running callback portstartupitem::add_notes
4752:debug:main No need to upgrade! libxml2 2.13.3_0 >= libxml2 2.13.3_0
4753:debug:main epoch: in tree: 1 installed: 1
4754:debug:main icu 74.2_0 exists in the ports tree
4755:debug:main icu 74.2_0  is the latest installed
4756:debug:main icu 74.2_0  is active
4757:debug:main Merging existing requested variants '' into variants
4758:debug:main new fully merged portvariants:
4759:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/icu
4760:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4761:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
4762:debug:main adding the default universal variant
4763:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4764:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4765:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4766:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4767:debug:main Running callback portstartupitem::add_notes
4768:debug:main Finished running callback portstartupitem::add_notes
4769:debug:main No need to upgrade! icu 74.2_0 >= icu 74.2_0
4770:debug:main epoch: in tree: 0 installed: 0
4771:debug:main xorg-libXext 1.3.6_0 exists in the ports tree
4772:debug:main xorg-libXext 1.3.6_0  is the latest installed
4773:debug:main xorg-libXext 1.3.6_0  is active
4774:debug:main Merging existing requested variants '' into variants
4775:debug:main new fully merged portvariants:
4776:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-libXext
4777:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4778:debug:main adding the default universal variant
4779:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4780:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4781:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4782:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4783:debug:main Running callback portstartupitem::add_notes
4784:debug:main Finished running callback portstartupitem::add_notes
4785:debug:main No need to upgrade! xorg-libXext 1.3.6_0 >= xorg-libXext 1.3.6_0
4786:debug:main epoch: in tree: 0 installed: 0
4787:debug:main xorg-xcb-util 0.4.1_0 exists in the ports tree
4788:debug:main xorg-xcb-util 0.4.1_0  is the latest installed
4789:debug:main xorg-xcb-util 0.4.1_0  is active
4790:debug:main Merging existing requested variants '' into variants
4791:debug:main new fully merged portvariants:
4792:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-xcb-util
4793:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4794:debug:main adding the default universal variant
4795:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4796:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4797:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4798:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4799:debug:main Running callback portstartupitem::add_notes
4800:debug:main Finished running callback portstartupitem::add_notes
4801:debug:main No need to upgrade! xorg-xcb-util 0.4.1_0 >= xorg-xcb-util 0.4.1_0
4802:debug:main epoch: in tree: 0 installed: 0
4803:debug:main py312-mako 1.3.5_0 exists in the ports tree
4804:debug:main py312-mako 1.3.5_0  is the latest installed
4805:debug:main py312-mako 1.3.5_0  is active
4806:debug:main Merging existing requested variants '' into variants
4807:debug:main new fully merged portvariants:
4808:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/python/py-mako
4809:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4810:debug:main Re-registering default for distname
4811:debug:main Re-registering default for build.cmd
4812:debug:main Re-registering default for build.target
4813:debug:main Re-registering default for destroot.cmd
4814:debug:main Re-registering default for destroot.destdir
4815:debug:main Re-registering default for destroot.target
4816:debug:main Re-registering default for test.cmd
4817:debug:main Re-registering default for test.target
4818:debug:main Sourcing PortGroup python 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/python-1.0.tcl
4819:debug:main only one arch supported, so not adding the default universal variant
4820:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4821:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4822:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4823:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4824:debug:main Running callback portstartupitem::add_notes
4825:debug:main Finished running callback portstartupitem::add_notes
4826:debug:main Running callback python_add_dependencies
4827:debug:main Finished running callback python_add_dependencies
4828:debug:main No need to upgrade! py312-mako 1.3.5_0 >= py312-mako 1.3.5_0
4829:debug:main epoch: in tree: 0 installed: 0
4830:debug:main py312-markupsafe 2.1.5_0 exists in the ports tree
4831:debug:main py312-markupsafe 2.1.5_0  is the latest installed
4832:debug:main py312-markupsafe 2.1.5_0  is active
4833:debug:main Merging existing requested variants '' into variants
4834:debug:main new fully merged portvariants:
4835:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/python/py-markupsafe
4836:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4837:debug:main Re-registering default for distname
4838:debug:main Re-registering default for build.cmd
4839:debug:main Re-registering default for build.target
4840:debug:main Re-registering default for destroot.cmd
4841:debug:main Re-registering default for destroot.destdir
4842:debug:main Re-registering default for destroot.target
4843:debug:main Re-registering default for test.cmd
4844:debug:main Re-registering default for test.target
4845:debug:main Sourcing PortGroup python 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/python-1.0.tcl
4846:debug:main adding the default universal variant
4847:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4848:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4849:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4850:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4851:debug:main Running callback portstartupitem::add_notes
4852:debug:main Finished running callback portstartupitem::add_notes
4853:debug:main Running callback python_add_dependencies
4854:debug:main Finished running callback python_add_dependencies
4855:debug:main No need to upgrade! py312-markupsafe 2.1.5_0 >= py312-markupsafe 2.1.5_0
4856:debug:main epoch: in tree: 0 installed: 0
4857:debug:main py312-markdown 3.6_0 exists in the ports tree
4858:debug:main py312-markdown 3.6_0  is the latest installed
4859:debug:main py312-markdown 3.6_0  is active
4860:debug:main Merging existing requested variants '' into variants
4861:debug:main new fully merged portvariants:
4862:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/python/py-markdown
4863:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4864:debug:main Re-registering default for distname
4865:debug:main Re-registering default for build.cmd
4866:debug:main Re-registering default for build.target
4867:debug:main Re-registering default for destroot.cmd
4868:debug:main Re-registering default for destroot.destdir
4869:debug:main Re-registering default for destroot.target
4870:debug:main Re-registering default for test.cmd
4871:debug:main Re-registering default for test.target
4872:debug:main Sourcing PortGroup python 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/python-1.0.tcl
4873:debug:main only one arch supported, so not adding the default universal variant
4874:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4875:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4876:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4877:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4878:debug:main Running callback portstartupitem::add_notes
4879:debug:main Finished running callback portstartupitem::add_notes
4880:debug:main Running callback python_add_dependencies
4881:debug:main Finished running callback python_add_dependencies
4882:debug:main No need to upgrade! py312-markdown 3.6_0 >= py312-markdown 3.6_0
4883:debug:main epoch: in tree: 0 installed: 0
4884:debug:main py312-setuptools 74.1.2_0 exists in the ports tree
4885:debug:main py312-setuptools 74.1.2_0  is the latest installed
4886:debug:main py312-setuptools 74.1.2_0  is active
4887:debug:main Merging existing requested variants '' into variants
4888:debug:main new fully merged portvariants:
4889:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/python/py-setuptools
4890:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4891:debug:main Re-registering default for distname
4892:debug:main Re-registering default for build.cmd
4893:debug:main Re-registering default for build.target
4894:debug:main Re-registering default for destroot.cmd
4895:debug:main Re-registering default for destroot.destdir
4896:debug:main Re-registering default for destroot.target
4897:debug:main Re-registering default for test.cmd
4898:debug:main Re-registering default for test.target
4899:debug:main Sourcing PortGroup python 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/python-1.0.tcl
4900:debug:main only one arch supported, so not adding the default universal variant
4901:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4902:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4903:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4904:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4905:debug:main Running callback portstartupitem::add_notes
4906:debug:main Finished running callback portstartupitem::add_notes
4907:debug:main Running callback python_add_dependencies
4908:debug:main Finished running callback python_add_dependencies
4909:debug:main No need to upgrade! py312-setuptools 74.1.2_0 >= py312-setuptools 74.1.2_0
4910:debug:main epoch: in tree: 0 installed: 0
4911:debug:main shared-mime-info 2.4_0 exists in the ports tree
4912:debug:main shared-mime-info 2.4_0  is the latest installed
4913:debug:main shared-mime-info 2.4_0  is active
4914:debug:main Merging existing requested variants '' into variants
4915:debug:main new fully merged portvariants:
4916:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/shared-mime-info
4917:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4918:debug:main Sourcing PortGroup gitlab 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/gitlab-1.0.tcl
4919:debug:main Re-registering default for configure.cmd
4920:debug:main Re-registering default for configure.pre_args
4921:debug:main Re-registering default for build.dir
4922:debug:main Re-registering default for build.cmd
4923:debug:main Re-registering default for build.target
4924:debug:main Re-registering default for destroot.cmd
4925:debug:main Re-registering default for destroot.post_args
4926:debug:main Sourcing PortGroup meson 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/meson-1.0.tcl
4927:debug:main Sourcing PortGroup legacysupport 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/legacysupport-1.1.tcl
4928:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
4929:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
4930:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
4931:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
4932:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
4933:debug:main Re-registering default for extract.rename
4934:debug:main Re-registering default for livecheck.regex
4935:debug:main adding the default universal variant
4936:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4937:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4938:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4939:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4940:debug:main Running callback portstartupitem::add_notes
4941:debug:main Finished running callback portstartupitem::add_notes
4942:debug:main Running callback meson::add_depends
4943:debug:main Finished running callback meson::add_depends
4944:debug:main Running callback legacysupport::add_legacysupport
4945:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
4946:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
4947:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
4948:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
4949:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
4950:debug:main Finished running callback legacysupport::add_legacysupport
4951:debug:main No need to upgrade! shared-mime-info 2.4_0 >= shared-mime-info 2.4_0
4952:debug:main epoch: in tree: 0 installed: 0
4953:debug:main bash 5.2.32_0 exists in the ports tree
4954:debug:main bash 5.2.32_0  is the latest installed
4955:debug:main bash 5.2.32_0  is active
4956:debug:main Merging existing requested variants '' into variants
4957:debug:main new fully merged portvariants:
4958:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/shells/bash
4959:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4960:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
4961:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 400}
4962:debug:main universal variant already exists, so not adding the default one
4963:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4964:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4965:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4966:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4967:debug:main Running callback portstartupitem::add_notes
4968:debug:main Finished running callback portstartupitem::add_notes
4969:debug:main No need to upgrade! bash 5.2.32_0 >= bash 5.2.32_0
4970:debug:main epoch: in tree: 0 installed: 0
4971:debug:main coreutils 9.5_0 exists in the ports tree
4972:debug:main coreutils 9.5_0  is the latest installed
4973:debug:main coreutils 9.5_0  is active
4974:debug:main Merging existing requested variants '' into variants
4975:debug:main new fully merged portvariants:
4976:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/sysutils/coreutils
4977:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4978:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
4979:debug:main Sourcing PortGroup gnulib 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/gnulib-1.0.tcl
4980:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 900}
4981:debug:main adding the default universal variant
4982:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
4983:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
4984:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
4985:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
4986:debug:main Running callback portstartupitem::add_notes
4987:debug:main Finished running callback portstartupitem::add_notes
4988:debug:main Running callback gnulib::setup
4989:debug:main Finished running callback gnulib::setup
4990:debug:main No need to upgrade! coreutils 9.5_0 >= coreutils 9.5_0
4991:debug:main epoch: in tree: 0 installed: 0
4992:debug:main gmp 6.3.0_0 exists in the ports tree
4993:debug:main gmp 6.3.0_0  is the latest installed
4994:debug:main gmp 6.3.0_0  is active
4995:debug:main Merging existing requested variants '' into variants
4996:debug:main new fully merged portvariants:
4997:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/gmp
4998:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
4999:debug:main Re-registering default for configure.universal_args
5000:debug:main Re-registering default for configure.cc_archflags
5001:debug:main Re-registering default for configure.objc_archflags
5002:debug:main Re-registering default for configure.cxx_archflags
5003:debug:main Re-registering default for configure.objcxx_archflags
5004:debug:main Re-registering default for configure.fc_archflags
5005:debug:main Re-registering default for configure.f90_archflags
5006:debug:main Re-registering default for configure.f77_archflags
5007:debug:main Re-registering default for configure.ld_archflags
5008:debug:main Re-registering default for configure.universal_cflags
5009:debug:main Re-registering default for configure.universal_objcflags
5010:debug:main Re-registering default for configure.universal_cxxflags
5011:debug:main Re-registering default for configure.universal_objcxxflags
5012:debug:main Re-registering default for configure.universal_cppflags
5013:debug:main Re-registering default for configure.universal_ldflags
5014:debug:main Sourcing PortGroup muniversal 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.1.tcl
5015:debug:main Sourcing PortGroup xcode_workaround 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/xcode_workaround-1.0.tcl
5016:debug:main muniversal: adding universal variant
5017:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5018:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5019:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5020:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5021:debug:main Running callback portstartupitem::add_notes
5022:debug:main Finished running callback portstartupitem::add_notes
5023:debug:main Running callback muniversal::add_compiler_flags
5024:debug:main Finished running callback muniversal::add_compiler_flags
5025:debug:main Running callback xcode_workaround::xcode_workaround.appy_fix
5026:debug:main Finished running callback xcode_workaround::xcode_workaround.appy_fix
5027:debug:main No need to upgrade! gmp 6.3.0_0 >= gmp 6.3.0_0
5028:debug:main epoch: in tree: 0 installed: 0
5029:debug:main libde265 1.0.15_0 exists in the ports tree
5030:debug:main libde265 1.0.15_0  is the latest installed
5031:debug:main libde265 1.0.15_0  is active
5032:debug:main Merging existing requested variants '' into variants
5033:debug:main new fully merged portvariants:
5034:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/multimedia/libde265
5035:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5036:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
5037:debug:main Sourcing PortGroup legacysupport 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/legacysupport-1.1.tcl
5038:debug:main Re-registering default for extract.rename
5039:debug:main Re-registering default for livecheck.url
5040:debug:main Re-registering default for livecheck.regex
5041:debug:main Re-registering default for extract.rename
5042:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
5043:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
5044:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
5045:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
5046:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
5047:debug:main adding the default universal variant
5048:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5049:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5050:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5051:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5052:debug:main Running callback portstartupitem::add_notes
5053:debug:main Finished running callback portstartupitem::add_notes
5054:debug:main Running callback legacysupport::add_legacysupport
5055:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
5056:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
5057:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
5058:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
5059:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
5060:debug:main Finished running callback legacysupport::add_legacysupport
5061:debug:main No need to upgrade! libde265 1.0.15_0 >= libde265 1.0.15_0
5062:debug:main epoch: in tree: 0 installed: 0
5063:debug:main svt-av1 2.2.1_0 exists in the ports tree
5064:debug:main svt-av1 2.2.1_0  is the latest installed
5065:debug:main svt-av1 2.2.1_0  is active
5066:debug:main Merging existing requested variants '' into variants
5067:debug:main new fully merged portvariants:
5068:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/multimedia/svt-av1
5069:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5070:debug:main Sourcing PortGroup gitlab 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/gitlab-1.0.tcl
5071:debug:main Re-registering default for destroot.target
5072:debug:main Re-registering default for configure.dir
5073:debug:main Re-registering default for build.dir
5074:debug:main Re-registering default for configure.pre_args
5075:debug:main Sourcing PortGroup cmake 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/cmake-1.1.tcl
5076:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
5077:debug:main Sourcing PortGroup legacysupport 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/legacysupport-1.1.tcl
5078:debug:main Re-registering default for extract.rename
5079:debug:main Re-registering default for livecheck.regex
5080:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 500}
5081:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
5082:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
5083:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
5084:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
5085:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
5086:debug:main adding the default universal variant
5087:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5088:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5089:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5090:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5091:debug:main Running callback portstartupitem::add_notes
5092:debug:main Finished running callback portstartupitem::add_notes
5093:debug:main Running callback legacysupport::add_legacysupport
5094:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
5095:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
5096:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
5097:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
5098:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
5099:debug:main Finished running callback legacysupport::add_legacysupport
5100:debug:main No need to upgrade! svt-av1 2.2.1_0 >= svt-av1 2.2.1_0
5101:debug:main epoch: in tree: 0 installed: 0
5102:debug:main kvazaar 2.3.1_0 exists in the ports tree
5103:debug:main kvazaar 2.3.1_0  is the latest installed
5104:debug:main kvazaar 2.3.1_0  is active
5105:debug:main Merging existing requested variants '' into variants
5106:debug:main new fully merged portvariants:
5107:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/multimedia/kvazaar
5108:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5109:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
5110:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
5111:debug:main Re-registering default for extract.rename
5112:debug:main Re-registering default for livecheck.url
5113:debug:main Re-registering default for livecheck.regex
5114:debug:main Re-registering default for extract.rename
5115:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 700}
5116:debug:main adding the default universal variant
5117:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5118:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5119:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5120:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5121:debug:main Running callback portstartupitem::add_notes
5122:debug:main Finished running callback portstartupitem::add_notes
5123:debug:main No need to upgrade! kvazaar 2.3.1_0 >= kvazaar 2.3.1_0
5124:debug:main epoch: in tree: 0 installed: 0
5125:debug:main xpm 3.5.17_0 exists in the ports tree
5126:debug:main xpm 3.5.17_0  is the latest installed
5127:debug:main xpm 3.5.17_0  is active
5128:debug:main Merging existing requested variants '' into variants
5129:debug:main new fully merged portvariants:
5130:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xpm
5131:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5132:debug:main adding the default universal variant
5133:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5134:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5135:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5136:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5137:debug:main Running callback portstartupitem::add_notes
5138:debug:main Finished running callback portstartupitem::add_notes
5139:debug:main No need to upgrade! xpm 3.5.17_0 >= xpm 3.5.17_0
5140:debug:main epoch: in tree: 0 installed: 0
5141:debug:main xorg-libXt 1.3.0_1 exists in the ports tree
5142:debug:main xorg-libXt 1.3.0_1  is the latest installed
5143:debug:main xorg-libXt 1.3.0_1  is active
5144:debug:main Merging existing requested variants '' into variants
5145:debug:main new fully merged portvariants:
5146:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-libXt
5147:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5148:debug:main adding the default universal variant
5149:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5150:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5151:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5152:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5153:debug:main Running callback portstartupitem::add_notes
5154:debug:main Finished running callback portstartupitem::add_notes
5155:debug:main No need to upgrade! xorg-libXt 1.3.0_1 >= xorg-libXt 1.3.0_1
5156:debug:main epoch: in tree: 0 installed: 0
5157:debug:main xorg-libsm 1.2.4_0 exists in the ports tree
5158:debug:main xorg-libsm 1.2.4_0  is the latest installed
5159:debug:main xorg-libsm 1.2.4_0  is active
5160:debug:main Merging existing requested variants '' into variants
5161:debug:main new fully merged portvariants:
5162:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-libsm
5163:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5164:debug:main adding the default universal variant
5165:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5166:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5167:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5168:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5169:debug:main Running callback portstartupitem::add_notes
5170:debug:main Finished running callback portstartupitem::add_notes
5171:debug:main No need to upgrade! xorg-libsm 1.2.4_0 >= xorg-libsm 1.2.4_0
5172:debug:main epoch: in tree: 0 installed: 0
5173:debug:main xorg-libice 1.1.1_0 exists in the ports tree
5174:debug:main xorg-libice 1.1.1_0  is the latest installed
5175:debug:main xorg-libice 1.1.1_0  is active
5176:debug:main Merging existing requested variants '' into variants
5177:debug:main new fully merged portvariants:
5178:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-libice
5179:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5180:debug:main adding the default universal variant
5181:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5182:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5183:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5184:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5185:debug:main Running callback portstartupitem::add_notes
5186:debug:main Finished running callback portstartupitem::add_notes
5187:debug:main No need to upgrade! xorg-libice 1.1.1_0 >= xorg-libice 1.1.1_0
5188:debug:main epoch: in tree: 0 installed: 0
5189:debug:main gts 0.7.6-20121130_1 exists in the ports tree
5190:debug:main gts 0.7.6-20121130_1  is the latest installed
5191:debug:main gts 0.7.6-20121130_1  is active
5192:debug:main Merging existing requested variants '' into variants
5193:debug:main new fully merged portvariants:
5194:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/math/gts
5195:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5196:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
5197:debug:main muniversal: adding universal variant
5198:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5199:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5200:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5201:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5202:debug:main Running callback portstartupitem::add_notes
5203:debug:main Finished running callback portstartupitem::add_notes
5204:debug:main No need to upgrade! gts 0.7.6-20121130_1 >= gts 0.7.6-20121130_1
5205:debug:main epoch: in tree: 0 installed: 0
5206:debug:main libnetpbm 11.07.00_0 exists in the ports tree
5207:debug:main libnetpbm 11.07.00_0  is the latest installed
5208:debug:main libnetpbm 11.07.00_0  is active
5209:debug:main Merging existing requested variants '' into variants
5210:debug:main new fully merged portvariants:
5211:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/netpbm
5212:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5213:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
5214:debug:main Re-registering default for extract.rename
5215:debug:main Re-registering default for livecheck.url
5216:debug:main Re-registering default for livecheck.regex
5217:debug:main Re-registering default for extract.rename
5218:debug:main universal variant already exists, so not adding the default one
5219:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5220:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5221:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5222:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5223:debug:main Running callback portstartupitem::add_notes
5224:debug:main Finished running callback portstartupitem::add_notes
5225:debug:main No need to upgrade! libnetpbm 11.07.00_0 >= libnetpbm 11.07.00_0
5226:debug:main epoch: in tree: 1 installed: 1
5227:debug:main pango 1.52.2_0 exists in the ports tree
5228:debug:main pango 1.52.2_0 +quartz+x11 is the latest installed
5229:debug:main pango 1.52.2_0 +quartz+x11 is active
5230:debug:main Merging existing requested variants '' into variants
5231:debug:main new fully merged portvariants:
5232:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/pango
5233:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5234:debug:main Sourcing PortGroup active_variants 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/active_variants-1.1.tcl
5235:debug:main Re-registering default for configure.cmd
5236:debug:main Re-registering default for configure.pre_args
5237:debug:main Re-registering default for build.dir
5238:debug:main Re-registering default for build.cmd
5239:debug:main Re-registering default for build.target
5240:debug:main Re-registering default for destroot.cmd
5241:debug:main Re-registering default for destroot.post_args
5242:debug:main Sourcing PortGroup meson 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/meson-1.0.tcl
5243:debug:main adding the default universal variant
5244:debug:main Executing variant quartz provides quartz
5245:debug:main Executing variant x11 provides x11
5246:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5247:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5248:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5249:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5250:debug:main Running callback portstartupitem::add_notes
5251:debug:main Finished running callback portstartupitem::add_notes
5252:debug:main Running callback meson::add_depends
5253:debug:main Finished running callback meson::add_depends
5254:debug:main No need to upgrade! pango 1.52.2_0 >= pango 1.52.2_0
5255:debug:main epoch: in tree: 0 installed: 0
5256:debug:main fribidi 1.0.15_0 exists in the ports tree
5257:debug:main fribidi 1.0.15_0  is the latest installed
5258:debug:main fribidi 1.0.15_0  is active
5259:debug:main Merging existing requested variants '' into variants
5260:debug:main new fully merged portvariants:
5261:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/textproc/fribidi
5262:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5263:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
5264:debug:main Re-registering default for extract.rename
5265:debug:main Re-registering default for livecheck.url
5266:debug:main Re-registering default for livecheck.regex
5267:debug:main Re-registering default for extract.rename
5268:debug:main adding the default universal variant
5269:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5270:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5271:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5272:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5273:debug:main Running callback portstartupitem::add_notes
5274:debug:main Finished running callback portstartupitem::add_notes
5275:debug:main No need to upgrade! fribidi 1.0.15_0 >= fribidi 1.0.15_0
5276:debug:main epoch: in tree: 0 installed: 0
5277:debug:main harfbuzz 8.5.0_0 exists in the ports tree
5278:debug:main harfbuzz 8.5.0_0  is the latest installed
5279:debug:main harfbuzz 8.5.0_0  is active
5280:debug:main Merging existing requested variants '' into variants
5281:debug:main new fully merged portvariants:
5282:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/harfbuzz
5283:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5284:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
5285:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
5286:debug:main Sourcing PortGroup gobject_introspection 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/gobject_introspection-1.0.tcl
5287:debug:main Re-registering default for extract.rename
5288:debug:main Re-registering default for livecheck.url
5289:debug:main Re-registering default for livecheck.regex
5290:debug:main Re-registering default for extract.rename
5291:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 700}
5292:debug:main adding the default universal variant
5293:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5294:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5295:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5296:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5297:debug:main Running callback portstartupitem::add_notes
5298:debug:main Finished running callback portstartupitem::add_notes
5299:debug:main Running callback gobject_introspection_pg::gobject_introspection_setup
5300:debug:main Finished running callback gobject_introspection_pg::gobject_introspection_setup
5301:debug:main No need to upgrade! harfbuzz 8.5.0_0 >= harfbuzz 8.5.0_0
5302:debug:main epoch: in tree: 0 installed: 0
5303:debug:main graphite2 1.3.14_0 exists in the ports tree
5304:debug:main graphite2 1.3.14_0  is the latest installed
5305:debug:main graphite2 1.3.14_0  is active
5306:debug:main Merging existing requested variants '' into variants
5307:debug:main new fully merged portvariants:
5308:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/graphite2
5309:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5310:debug:main Re-registering default for destroot.target
5311:debug:main Re-registering default for configure.dir
5312:debug:main Re-registering default for build.dir
5313:debug:main Re-registering default for configure.pre_args
5314:debug:main Sourcing PortGroup cmake 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/cmake-1.1.tcl
5315:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
5316:debug:main Re-registering default for extract.rename
5317:debug:main Re-registering default for livecheck.url
5318:debug:main Re-registering default for livecheck.regex
5319:debug:main Re-registering default for extract.rename
5320:debug:main adding the default universal variant
5321:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5322:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5323:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5324:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5325:debug:main Running callback portstartupitem::add_notes
5326:debug:main Finished running callback portstartupitem::add_notes
5327:debug:main No need to upgrade! graphite2 1.3.14_0 >= graphite2 1.3.14_0
5328:debug:main epoch: in tree: 0 installed: 0
5329:debug:main Xft2 2.3.8_0 exists in the ports tree
5330:debug:main Xft2 2.3.8_0  is the latest installed
5331:debug:main Xft2 2.3.8_0  is active
5332:debug:main Merging existing requested variants '' into variants
5333:debug:main new fully merged portvariants:
5334:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/Xft2
5335:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5336:debug:main adding the default universal variant
5337:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5338:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5339:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5340:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5341:debug:main Running callback portstartupitem::add_notes
5342:debug:main Finished running callback portstartupitem::add_notes
5343:debug:main No need to upgrade! Xft2 2.3.8_0 >= Xft2 2.3.8_0
5344:debug:main epoch: in tree: 0 installed: 0
5345:debug:main libLASi 1.1.3_1 exists in the ports tree
5346:debug:main libLASi 1.1.3_1  is the latest installed
5347:debug:main libLASi 1.1.3_1  is active
5348:debug:main Merging existing requested variants '' into variants
5349:debug:main new fully merged portvariants:
5350:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/print/libLASi
5351:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5352:debug:main Re-registering default for destroot.target
5353:debug:main Re-registering default for configure.dir
5354:debug:main Re-registering default for build.dir
5355:debug:main Re-registering default for configure.pre_args
5356:debug:main Sourcing PortGroup cmake 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/cmake-1.1.tcl
5357:debug:main adding the default universal variant
5358:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5359:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5360:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5361:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5362:debug:main Running callback portstartupitem::add_notes
5363:debug:main Finished running callback portstartupitem::add_notes
5364:debug:main No need to upgrade! libLASi 1.1.3_1 >= libLASi 1.1.3_1
5365:debug:main epoch: in tree: 0 installed: 0
5366:debug:main xorg-libXaw 1.0.16_1 exists in the ports tree
5367:debug:main xorg-libXaw 1.0.16_1  is the latest installed
5368:debug:main xorg-libXaw 1.0.16_1  is active
5369:debug:main Merging existing requested variants '' into variants
5370:debug:main new fully merged portvariants:
5371:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-libXaw
5372:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5373:debug:main adding the default universal variant
5374:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5375:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5376:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5377:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5378:debug:main Running callback portstartupitem::add_notes
5379:debug:main Finished running callback portstartupitem::add_notes
5380:debug:main No need to upgrade! xorg-libXaw 1.0.16_1 >= xorg-libXaw 1.0.16_1
5381:debug:main epoch: in tree: 0 installed: 0
5382:debug:main xorg-libXmu 1.2.1_0 exists in the ports tree
5383:debug:main xorg-libXmu 1.2.1_0  is the latest installed
5384:debug:main xorg-libXmu 1.2.1_0  is active
5385:debug:main Merging existing requested variants '' into variants
5386:debug:main new fully merged portvariants:
5387:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-libXmu
5388:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5389:debug:main adding the default universal variant
5390:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5391:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5392:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5393:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5394:debug:main Running callback portstartupitem::add_notes
5395:debug:main Finished running callback portstartupitem::add_notes
5396:debug:main No need to upgrade! xorg-libXmu 1.2.1_0 >= xorg-libXmu 1.2.1_0
5397:debug:main epoch: in tree: 0 installed: 0
5398:debug:main urw-fonts 1.0.7pre44_0 exists in the ports tree
5399:debug:main urw-fonts 1.0.7pre44_0  is the latest installed
5400:debug:main urw-fonts 1.0.7pre44_0  is active
5401:debug:main Merging existing requested variants '' into variants
5402:debug:main new fully merged portvariants:
5403:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/urw-fonts
5404:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5405:debug:main universal_variant is false, so not adding the default universal variant
5406:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5407:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5408:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5409:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5410:debug:main Running callback portstartupitem::add_notes
5411:debug:main Finished running callback portstartupitem::add_notes
5412:debug:main No need to upgrade! urw-fonts 1.0.7pre44_0 >= urw-fonts 1.0.7pre44_0
5413:msg:main --->  Computing dependencies for graphviz:info:main .:debug:main Checking for conflicts against graphviz
5414:debug:main Searching for dependency: pkgconfig
5415:debug:main Found Dependency: receipt exists for pkgconfig
5416:debug:main Searching for dependency: gettext
5417:debug:main Found Dependency: receipt exists for gettext
5418:debug:main Searching for dependency: autoconf-archive
5419:debug:main Found Dependency: receipt exists for autoconf-archive
5420:debug:main Searching for dependency: gsed
5421:debug:main Found Dependency: receipt exists for gsed
5422:debug:main Searching for dependency: autoconf
5423:debug:main Found Dependency: receipt exists for autoconf
5424:debug:main Searching for dependency: automake
5425:debug:main Found Dependency: receipt exists for automake
5426:debug:main Searching for dependency: libtool
5427:debug:main Found Dependency: receipt exists for libtool
5428:debug:main Searching for dependency: libjpeg-turbo
5429:debug:main Found Dependency: receipt exists for libjpeg-turbo
5430:debug:main Searching for dependency: libpng
5431:debug:main Found Dependency: receipt exists for libpng
5432:debug:main Searching for dependency: webp
5433:debug:main Found Dependency: receipt exists for webp
5434:debug:main Searching for dependency: fontconfig
5435:debug:main Found Dependency: receipt exists for fontconfig
5436:debug:main Searching for dependency: freetype
5437:debug:main Found Dependency: receipt exists for freetype
5438:debug:main Searching for dependency: expat
5439:debug:main Found Dependency: receipt exists for expat
5440:debug:main Searching for dependency: gd2
5441:debug:main Found Dependency: receipt exists for gd2
5442:debug:main Searching for dependency: gts
5443:debug:main Found Dependency: receipt exists for gts
5444:debug:main Searching for dependency: zlib
5445:debug:main Found Dependency: receipt exists for zlib
5446:debug:main Searching for dependency: gettext-runtime
5447:debug:main Found Dependency: receipt exists for gettext-runtime
5448:debug:main Searching for dependency: pango
5449:debug:main Found Dependency: receipt exists for pango
5450:debug:main Searching for dependency: libLASi
5451:debug:main Found Dependency: receipt exists for libLASi
5452:debug:main Searching for dependency: xorg-libXaw
5453:debug:main Found Dependency: receipt exists for xorg-libXaw
5454:debug:main Searching for dependency: urw-fonts
5455:debug:main Found Dependency: receipt exists for urw-fonts
5456:debug:main Executing org.macports.main (graphviz)
5457:debug:main dropping privileges: euid changed to 502, egid changed to 501.
5458:debug:main Privilege de-escalation not attempted as not running as root.
5459:debug:archivefetch archivefetch phase started at Wed Sep 18 17:48:33 EDT 2024
5460:msg:archivefetch --->  Fetching archive for graphviz
5461:debug:archivefetch Executing org.macports.archivefetch (graphviz)
5462:debug:archivefetch euid/egid changed to: 0/0
5463:debug:archivefetch chowned /opt/local/var/macports/incoming to macports
5464:debug:archivefetch euid/egid changed to: 502/501
5465:info:archivefetch --->  graphviz-9.0.0_1+pangocairo+x11.darwin_23.arm64.tbz2 doesn't seem to exist in /opt/local/var/macports/incoming/verified
5466:msg:archivefetch --->  Attempting to fetch graphviz-9.0.0_1+pangocairo+x11.darwin_23.arm64.tbz2 from https://packages.macports.org/graphviz
5467:debug:archivefetch Fetching archive failed: The requested URL returned error: 404
5468:msg:archivefetch --->  Attempting to fetch graphviz-9.0.0_1+pangocairo+x11.darwin_23.arm64.tbz2 from http://bos.us.packages.macports.org/graphviz
5469:debug:archivefetch Fetching archive failed: The requested URL returned error: 404
5470:msg:archivefetch --->  Attempting to fetch graphviz-9.0.0_1+pangocairo+x11.darwin_23.arm64.tbz2 from https://mirrors.mit.edu/macports/packages/graphviz
5471:debug:archivefetch Fetching archive failed: The requested URL returned error: 404
5472:debug:archivefetch Privilege de-escalation not attempted as not running as root.
5473:debug:archivefetch Skipping completed org.macports.fetch (graphviz)
5474:debug:archivefetch Privilege de-escalation not attempted as not running as root.
5475:debug:archivefetch Skipping completed org.macports.checksum (graphviz)
5476:debug:archivefetch Privilege de-escalation not attempted as not running as root.
5477:debug:archivefetch Skipping completed org.macports.extract (graphviz)
5478:debug:archivefetch Privilege de-escalation not attempted as not running as root.
5479:debug:archivefetch Skipping completed org.macports.patch (graphviz)
5480:debug:archivefetch Privilege de-escalation not attempted as not running as root.
5481:debug:archivefetch Skipping completed org.macports.configure (graphviz)
5482:debug:archivefetch Privilege de-escalation not attempted as not running as root.
5483:debug:build build phase started at Wed Sep 18 17:48:34 EDT 2024
5484:notice:build --->  Building graphviz
5485:debug:build Executing org.macports.build (graphviz)
5486:debug:build Environment:
5487:debug:build CC_PRINT_OPTIONS='YES'
5488:debug:build CC_PRINT_OPTIONS_FILE='/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/.CC_PRINT_OPTIONS'
5489:debug:build CPATH='/opt/local/include'
5490:debug:build DEVELOPER_DIR='/Library/Developer/CommandLineTools'
5491:debug:build LIBRARY_PATH='/opt/local/lib'
5492:debug:build MACOSX_DEPLOYMENT_TARGET='14.0'
5493:debug:build MACPORTS_LEGACY_SUPPORT_DISABLED='1'
5494:debug:build SDKROOT='/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk'
5495:debug:build SOURCE_DATE_EPOCH='1725307779'
5496:info:build Executing:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0" && /usr/bin/make -j12 -w all
5497:debug:build system:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0" && /usr/bin/make -j12 -w all
5498:info:build make: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0'
5499:info:build /Library/Developer/CommandLineTools/usr/bin/make  all-recursive
5500:info:build make[1]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0'
5501:info:build Making all in libltdl
5502:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/libltdl'
5503:info:build /Library/Developer/CommandLineTools/usr/bin/make  all-am
5504:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/libltdl'
5505:info:build make[3]: Nothing to be done for `all-am'.
5506:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/libltdl'
5507:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/libltdl'
5508:info:build Making all in lib
5509:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib'
5510:info:build Making all in cdt
5511:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/cdt'
5512:info:build make[3]: Nothing to be done for `all'.
5513:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/cdt'
5514:info:build Making all in xdot
5515:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/xdot'
5516:info:build make[3]: Nothing to be done for `all'.
5517:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/xdot'
5518:info:build Making all in cgraph
5519:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/cgraph'
5520:info:build make[3]: Nothing to be done for `all'.
5521:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/cgraph'
5522:info:build Making all in pathplan
5523:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/pathplan'
5524:info:build make[3]: Nothing to be done for `all'.
5525:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/pathplan'
5526:info:build Making all in sfio
5527:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/sfio'
5528:info:build Making all in Sfio_f
5529:info:build make[4]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/sfio/Sfio_f'
5530:info:build make[4]: Nothing to be done for `all'.
5531:info:build make[4]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/sfio/Sfio_f'
5532:info:build make[4]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/sfio'
5533:info:build make[4]: Nothing to be done for `all-am'.
5534:info:build make[4]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/sfio'
5535:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/sfio'
5536:info:build Making all in vmalloc
5537:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/vmalloc'
5538:info:build make[3]: Nothing to be done for `all'.
5539:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/vmalloc'
5540:info:build Making all in ast
5541:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/ast'
5542:info:build make[3]: Nothing to be done for `all'.
5543:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/ast'
5544:info:build Making all in vpsc
5545:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/vpsc'
5546:info:build depbase=`echo block.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
5547:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT block.lo -MD -MP -MF $depbase.Tpo -c -o block.lo block.cpp &&\
5548:info:build     mv -f $depbase.Tpo $depbase.Plo
5549:info:build depbase=`echo blocks.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
5550:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT blocks.lo -MD -MP -MF $depbase.Tpo -c -o blocks.lo blocks.cpp &&\
5551:info:build     mv -f $depbase.Tpo $depbase.Plo
5552:info:build depbase=`echo constraint.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
5553:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT constraint.lo -MD -MP -MF $depbase.Tpo -c -o constraint.lo constraint.cpp &&\
5554:info:build     mv -f $depbase.Tpo $depbase.Plo
5555:info:build depbase=`echo generate-constraints.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
5556:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT generate-constraints.lo -MD -MP -MF $depbase.Tpo -c -o generate-constraints.lo generate-constraints.cpp &&\
5557:info:build     mv -f $depbase.Tpo $depbase.Plo
5558:info:build depbase=`echo pairingheap/PairingHeap.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
5559:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT pairingheap/PairingHeap.lo -MD -MP -MF $depbase.Tpo -c -o pairingheap/PairingHeap.lo pairingheap/PairingHeap.cpp &&\
5560:info:build     mv -f $depbase.Tpo $depbase.Plo
5561:info:build depbase=`echo solve_VPSC.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
5562:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT solve_VPSC.lo -MD -MP -MF $depbase.Tpo -c -o solve_VPSC.lo solve_VPSC.cpp &&\
5563:info:build     mv -f $depbase.Tpo $depbase.Plo
5564:info:build depbase=`echo csolve_VPSC.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
5565:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT csolve_VPSC.lo -MD -MP -MF $depbase.Tpo -c -o csolve_VPSC.lo csolve_VPSC.cpp &&\
5566:info:build     mv -f $depbase.Tpo $depbase.Plo
5567:info:build depbase=`echo variable.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
5568:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT variable.lo -MD -MP -MF $depbase.Tpo -c -o variable.lo variable.cpp &&\
5569:info:build     mv -f $depbase.Tpo $depbase.Plo
5570:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT constraint.lo -MD -MP -MF .deps/constraint.Tpo -c constraint.cpp  -fno-common -DPIC -o .libs/constraint.o
5571:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT solve_VPSC.lo -MD -MP -MF .deps/solve_VPSC.Tpo -c solve_VPSC.cpp  -fno-common -DPIC -o .libs/solve_VPSC.o
5572:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT block.lo -MD -MP -MF .deps/block.Tpo -c block.cpp  -fno-common -DPIC -o .libs/block.o
5573:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT blocks.lo -MD -MP -MF .deps/blocks.Tpo -c blocks.cpp  -fno-common -DPIC -o .libs/blocks.o
5574:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT csolve_VPSC.lo -MD -MP -MF .deps/csolve_VPSC.Tpo -c csolve_VPSC.cpp  -fno-common -DPIC -o .libs/csolve_VPSC.o
5575:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT variable.lo -MD -MP -MF .deps/variable.Tpo -c variable.cpp  -fno-common -DPIC -o .libs/variable.o
5576:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT generate-constraints.lo -MD -MP -MF .deps/generate-constraints.Tpo -c generate-constraints.cpp  -fno-common -DPIC -o .libs/generate-constraints.o
5577:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT pairingheap/PairingHeap.lo -MD -MP -MF pairingheap/.deps/PairingHeap.Tpo -c pairingheap/PairingHeap.cpp  -fno-common -DPIC -o pairingheap/.libs/PairingHeap.o
5578:info:build csolve_VPSC.cpp:19:10: fatal error: 'iostream' file not found
5579:info:build solve_VPSC.cpp   19 | #inclu:20:10: fatal error: 'cassert' file not found
5580:info:build de <ios   t20r | e#aimn>c
5581:info:build l      u| d         ^~~~~~~~~~e
5582:info:build  <cassert>
5583:info:build       |          ^~~~~~~~~
5584:info:build generate-constraints.cpp:19:10: block.cpp:19:10: fatal error: 'cassert' file not found
5585:info:build fatal error:    19 | #inc'algorithm' file not foundlude <cassert>
5586:info:build       |          ^~~~~~~~~
5587:info:build    19 | #include <algorithm>
5588:info:build       |          ^~~~~~~~~~~
5589:info:build In file included from variable.cpp:16:
5590:info:build ../../lib/vpsc/variable.h:18:10: fatal error: 'vector' file not found
5591:info:build    18 | #include <vector>
5592:info:build       |          ^~~~~~~~
5593:info:build In file included from blocks.cpp:22:
5594:info:build ../../lib/vpsc/blocks.h:26:10: fatal error: 'set' file not found
5595:info:build    26 | #include <set>
5596:info:build       |          ^~~~~
5597:info:build In file included from constraint.cpp:19:
5598:info:build ../../lib/vpsc/constraint.h:21:10: fatal error: 'iostream' file not found
5599:info:build    21 | #include <iostream>
5600:info:build       |          ^~~~~~~~~~
5601:info:build pairingheap/PairingHeap.cpp:25:10: fatal error: 'vector' file not found
5602:info:build    25 | #include <vector>
5603:info:build       |          ^~~~~~~~
5604:info:build 1 error generated.
5605:info:build 1 error generated.
5606:info:build 1 error generated.
5607:info:build 1 error generated.
5608:info:build 1 error generated.
5609:info:build 1 error generated.
5610:info:build 1 error generated.
5611:info:build 1 error generated.
5612:info:build make[3]: *** [variable.lo] Error 1
5613:info:build make[3]: *** Waiting for unfinished jobs....
5614:info:build make[3]: *** [csolve_VPSC.lo] Error 1
5615:info:build make[3]: *** [blocks.lo] Error 1
5616:info:build make[3]: *** [pairingheap/PairingHeap.lo] Error 1
5617:info:build make[3]: *** [constraint.lo] Error 1
5618:info:build make[3]: *** [block.lo] Error 1
5619:info:build make[3]: *** [generate-constraints.lo] Error 1
5620:info:build make[3]: *** [solve_VPSC.lo] Error 1
5621:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/vpsc'
5622:info:build make[2]: *** [all-recursive] Error 1
5623:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib'
5624:info:build make[1]: *** [all-recursive] Error 1
5625:info:build make[1]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0'
5626:info:build make: *** [all] Error 2
5627:info:build make: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0'
5628:info:build Command failed:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0" && /usr/bin/make -j12 -w all
5629:info:build Exit code: 2
5630:error:build Failed to build graphviz: command execution failed
5631:debug:build Error code: CHILDSTATUS 26721 2
5632:debug:build Backtrace: command execution failed
5633:debug:build     while executing
5634:debug:build "system {*}$notty {*}$callback {*}$nice $fullcmdstring"
5635:debug:build     invoked from within
5636:debug:build "command_exec -callback portprogress::target_progress_callback build"
5637:debug:build     (procedure "portbuild::build_main" line 10)
5638:debug:build     invoked from within
5639:debug:build "$procedure $targetname"
5640:error:build See /opt/local/var/macports/logs/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/main.log for details.
5641version:1
5642:debug:main Starting logging for graphviz @9.0.0_1+pangocairo+x11
5643:debug:sysinfo macOS 14.7 (darwin/23.6.0) arch arm
5644:debug:sysinfo MacPorts 2.10.1
5645:debug:sysinfo Xcode 16.0, CLT 16.0.0.0.1.1724870825
5646:debug:sysinfo SDK 14
5647:debug:sysinfo MACOSX_DEPLOYMENT_TARGET: 14.0
5648:debug:main Fetching graphviz-9.0.0_1+pangocairo+x11.darwin_23.arm64.tbz2 archive size
5649:debug:main epoch: in tree: 0 installed: 0
5650:debug:main pkgconfig 0.29.2_0 exists in the ports tree
5651:debug:main pkgconfig 0.29.2_0  is the latest installed
5652:debug:main pkgconfig 0.29.2_0  is active
5653:debug:main Merging existing requested variants '' into variants
5654:debug:main new fully merged portvariants:
5655:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/pkgconfig
5656:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5657:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
5658:debug:main Sourcing PortGroup conflicts_build 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/conflicts_build-1.0.tcl
5659:debug:main adding the default universal variant
5660:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5661:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5662:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5663:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5664:debug:main Running callback portstartupitem::add_notes
5665:debug:main Finished running callback portstartupitem::add_notes
5666:debug:main No need to upgrade! pkgconfig 0.29.2_0 >= pkgconfig 0.29.2_0
5667:debug:main epoch: in tree: 0 installed: 0
5668:debug:main libiconv 1.17_0 exists in the ports tree
5669:debug:main libiconv 1.17_0  is the latest installed
5670:debug:main libiconv 1.17_0  is active
5671:debug:main Merging existing requested variants '' into variants
5672:debug:main new fully merged portvariants:
5673:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/textproc/libiconv
5674:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5675:debug:main Re-registering default for configure.universal_args
5676:debug:main Re-registering default for configure.cc_archflags
5677:debug:main Re-registering default for configure.objc_archflags
5678:debug:main Re-registering default for configure.cxx_archflags
5679:debug:main Re-registering default for configure.objcxx_archflags
5680:debug:main Re-registering default for configure.fc_archflags
5681:debug:main Re-registering default for configure.f90_archflags
5682:debug:main Re-registering default for configure.f77_archflags
5683:debug:main Re-registering default for configure.ld_archflags
5684:debug:main Re-registering default for configure.universal_cflags
5685:debug:main Re-registering default for configure.universal_objcflags
5686:debug:main Re-registering default for configure.universal_cxxflags
5687:debug:main Re-registering default for configure.universal_objcxxflags
5688:debug:main Re-registering default for configure.universal_cppflags
5689:debug:main Re-registering default for configure.universal_ldflags
5690:debug:main Sourcing PortGroup muniversal 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.1.tcl
5691:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
5692:debug:main muniversal: adding universal variant
5693:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5694:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5695:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5696:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5697:debug:main Running callback portstartupitem::add_notes
5698:debug:main Finished running callback portstartupitem::add_notes
5699:debug:main Running callback muniversal::add_compiler_flags
5700:debug:main Finished running callback muniversal::add_compiler_flags
5701:debug:main No need to upgrade! libiconv 1.17_0 >= libiconv 1.17_0
5702:debug:main epoch: in tree: 2 installed: 2
5703:debug:main gettext 0.22.5_0 exists in the ports tree
5704:debug:main gettext 0.22.5_0  is the latest installed
5705:debug:main gettext 0.22.5_0  is active
5706:debug:main Merging existing requested variants '' into variants
5707:debug:main new fully merged portvariants:
5708:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/gettext
5709:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5710:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
5711:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
5712:debug:main Sourcing PortGroup gnulib 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/gnulib-1.0.tcl
5713:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
5714:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 211.10.1}
5715:debug:main muniversal: adding universal variant
5716:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5717:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5718:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5719:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5720:debug:main Running callback portstartupitem::add_notes
5721:debug:main Finished running callback portstartupitem::add_notes
5722:debug:main Running callback gnulib::setup
5723:debug:main Finished running callback gnulib::setup
5724:debug:main No need to upgrade! gettext 0.22.5_0 >= gettext 0.22.5_0
5725:debug:main epoch: in tree: 0 installed: 0
5726:debug:main gettext-tools-libs 0.22.5_0 exists in the ports tree
5727:debug:main gettext-tools-libs 0.22.5_0  is the latest installed
5728:debug:main gettext-tools-libs 0.22.5_0  is active
5729:debug:main Merging existing requested variants '' into variants
5730:debug:main new fully merged portvariants:
5731:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/gettext
5732:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5733:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
5734:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
5735:debug:main Sourcing PortGroup gnulib 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/gnulib-1.0.tcl
5736:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
5737:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 211.10.1}
5738:debug:main muniversal: adding universal variant
5739:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5740:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5741:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5742:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5743:debug:main Running callback portstartupitem::add_notes
5744:debug:main Finished running callback portstartupitem::add_notes
5745:debug:main Running callback gnulib::setup
5746:debug:main Finished running callback gnulib::setup
5747:debug:main No need to upgrade! gettext-tools-libs 0.22.5_0 >= gettext-tools-libs 0.22.5_0
5748:debug:main epoch: in tree: 0 installed: 0
5749:debug:main libtextstyle 0.22.5_0 exists in the ports tree
5750:debug:main libtextstyle 0.22.5_0  is the latest installed
5751:debug:main libtextstyle 0.22.5_0  is active
5752:debug:main Merging existing requested variants '' into variants
5753:debug:main new fully merged portvariants:
5754:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/gettext
5755:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5756:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
5757:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
5758:debug:main Sourcing PortGroup gnulib 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/gnulib-1.0.tcl
5759:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
5760:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 211.10.1}
5761:debug:main muniversal: adding universal variant
5762:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5763:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5764:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5765:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5766:debug:main Running callback portstartupitem::add_notes
5767:debug:main Finished running callback portstartupitem::add_notes
5768:debug:main Running callback gnulib::setup
5769:debug:main Finished running callback gnulib::setup
5770:debug:main No need to upgrade! libtextstyle 0.22.5_0 >= libtextstyle 0.22.5_0
5771:debug:main epoch: in tree: 0 installed: 0
5772:debug:main ncurses 6.5_0 exists in the ports tree
5773:debug:main ncurses 6.5_0  is the latest installed
5774:debug:main ncurses 6.5_0  is active
5775:debug:main Merging existing requested variants '' into variants
5776:debug:main new fully merged portvariants:
5777:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/ncurses
5778:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5779:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
5780:debug:main adding the default universal variant
5781:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5782:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5783:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5784:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5785:debug:main Running callback portstartupitem::add_notes
5786:debug:main Finished running callback portstartupitem::add_notes
5787:debug:main No need to upgrade! ncurses 6.5_0 >= ncurses 6.5_0
5788:debug:main epoch: in tree: 0 installed: 0
5789:debug:main gettext-runtime 0.22.5_0 exists in the ports tree
5790:debug:main gettext-runtime 0.22.5_0  is the latest installed
5791:debug:main gettext-runtime 0.22.5_0  is active
5792:debug:main Merging existing requested variants '' into variants
5793:debug:main new fully merged portvariants:
5794:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/gettext
5795:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5796:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
5797:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
5798:debug:main Sourcing PortGroup gnulib 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/gnulib-1.0.tcl
5799:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
5800:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 211.10.1}
5801:debug:main muniversal: adding universal variant
5802:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5803:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5804:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5805:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5806:debug:main Running callback portstartupitem::add_notes
5807:debug:main Finished running callback portstartupitem::add_notes
5808:debug:main Running callback gnulib::setup
5809:debug:main Finished running callback gnulib::setup
5810:debug:main No need to upgrade! gettext-runtime 0.22.5_0 >= gettext-runtime 0.22.5_0
5811:debug:main epoch: in tree: 0 installed: 0
5812:debug:main autoconf-archive 2023.02.20_0 exists in the ports tree
5813:debug:main autoconf-archive 2023.02.20_0  is the latest installed
5814:debug:main autoconf-archive 2023.02.20_0  is active
5815:debug:main Merging existing requested variants '' into variants
5816:debug:main new fully merged portvariants:
5817:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/autoconf-archive
5818:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5819:debug:main only one arch supported, so not adding the default universal variant
5820:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5821:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5822:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5823:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5824:debug:main Running callback portstartupitem::add_notes
5825:debug:main Finished running callback portstartupitem::add_notes
5826:debug:main No need to upgrade! autoconf-archive 2023.02.20_0 >= autoconf-archive 2023.02.20_0
5827:debug:main epoch: in tree: 0 installed: 0
5828:debug:main gsed 4.9_1 exists in the ports tree
5829:debug:main gsed 4.9_1  is the latest installed
5830:debug:main gsed 4.9_1  is active
5831:debug:main Merging existing requested variants '' into variants
5832:debug:main new fully merged portvariants:
5833:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/textproc/gsed
5834:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5835:debug:main Sourcing PortGroup gnu_info 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/gnu_info-1.0.tcl
5836:debug:main adding the default universal variant
5837:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5838:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5839:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5840:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5841:debug:main Running callback portstartupitem::add_notes
5842:debug:main Finished running callback portstartupitem::add_notes
5843:debug:main No need to upgrade! gsed 4.9_1 >= gsed 4.9_1
5844:debug:main epoch: in tree: 0 installed: 0
5845:debug:main texinfo 7.1_1 exists in the ports tree
5846:debug:main texinfo 7.1_1  is the latest installed
5847:debug:main texinfo 7.1_1  is active
5848:debug:main Merging existing requested variants '' into variants
5849:debug:main new fully merged portvariants:
5850:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/textproc/texinfo
5851:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5852:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
5853:debug:main Re-registering default for configure.universal_args
5854:debug:main Sourcing PortGroup perl5 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/perl5-1.0.tcl
5855:debug:main adding the default universal variant
5856:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5857:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5858:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5859:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5860:debug:main Running callback portstartupitem::add_notes
5861:debug:main Finished running callback portstartupitem::add_notes
5862:debug:main No need to upgrade! texinfo 7.1_1 >= texinfo 7.1_1
5863:debug:main epoch: in tree: 0 installed: 0
5864:debug:main perl5.34 5.34.3_1 exists in the ports tree
5865:debug:main perl5.34 5.34.3_1  is the latest installed
5866:debug:main perl5.34 5.34.3_1  is active
5867:debug:main Merging existing requested variants '' into variants
5868:debug:main new fully merged portvariants:
5869:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/lang/perl5
5870:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5871:debug:main Re-registering default for configure.universal_args
5872:debug:main Sourcing PortGroup perl5 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/perl5-1.0.tcl
5873:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
5874:debug:main adding the default universal variant
5875:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5876:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5877:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5878:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5879:debug:main Running callback portstartupitem::add_notes
5880:debug:main Finished running callback portstartupitem::add_notes
5881:debug:main No need to upgrade! perl5.34 5.34.3_1 >= perl5.34 5.34.3_1
5882:debug:main epoch: in tree: 0 installed: 0
5883:debug:main db48 4.8.30_5 exists in the ports tree
5884:debug:main db48 4.8.30_5  is the latest installed
5885:debug:main db48 4.8.30_5  is active
5886:debug:main Merging existing requested variants '' into variants
5887:debug:main new fully merged portvariants:
5888:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/databases/db48
5889:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5890:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
5891:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
5892:debug:main muniversal: adding universal variant
5893:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5894:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5895:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5896:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5897:debug:main Running callback portstartupitem::add_notes
5898:debug:main Finished running callback portstartupitem::add_notes
5899:debug:main No need to upgrade! db48 4.8.30_5 >= db48 4.8.30_5
5900:debug:main epoch: in tree: 0 installed: 0
5901:debug:main gdbm 1.24_0 exists in the ports tree
5902:debug:main gdbm 1.24_0  is the latest installed
5903:debug:main gdbm 1.24_0  is active
5904:debug:main Merging existing requested variants '' into variants
5905:debug:main new fully merged portvariants:
5906:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/databases/gdbm
5907:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5908:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
5909:debug:main adding the default universal variant
5910:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5911:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5912:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5913:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5914:debug:main Running callback portstartupitem::add_notes
5915:debug:main Finished running callback portstartupitem::add_notes
5916:debug:main No need to upgrade! gdbm 1.24_0 >= gdbm 1.24_0
5917:debug:main epoch: in tree: 0 installed: 0
5918:debug:main readline 8.2.013_0 exists in the ports tree
5919:debug:main readline 8.2.013_0  is the latest installed
5920:debug:main readline 8.2.013_0  is active
5921:debug:main Merging existing requested variants '' into variants
5922:debug:main new fully merged portvariants:
5923:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/readline
5924:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5925:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
5926:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
5927:debug:main muniversal: adding universal variant
5928:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5929:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5930:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5931:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5932:debug:main Running callback portstartupitem::add_notes
5933:debug:main Finished running callback portstartupitem::add_notes
5934:debug:main No need to upgrade! readline 8.2.013_0 >= readline 8.2.013_0
5935:debug:main epoch: in tree: 1 installed: 1
5936:debug:main autoconf 2.72_0 exists in the ports tree
5937:debug:main autoconf 2.72_0  is the latest installed
5938:debug:main autoconf 2.72_0  is active
5939:debug:main Merging existing requested variants '' into variants
5940:debug:main new fully merged portvariants:
5941:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/autoconf
5942:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5943:debug:main only one arch supported, so not adding the default universal variant
5944:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5945:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5946:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5947:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5948:debug:main Running callback portstartupitem::add_notes
5949:debug:main Finished running callback portstartupitem::add_notes
5950:debug:main No need to upgrade! autoconf 2.72_0 >= autoconf 2.72_0
5951:debug:main epoch: in tree: 0 installed: 0
5952:debug:main m4 1.4.19_1 exists in the ports tree
5953:debug:main m4 1.4.19_1  is the latest installed
5954:debug:main m4 1.4.19_1  is active
5955:debug:main Merging existing requested variants '' into variants
5956:debug:main new fully merged portvariants:
5957:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/m4
5958:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5959:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
5960:debug:main Sourcing PortGroup gnulib 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/gnulib-1.0.tcl
5961:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
5962:debug:main muniversal: adding universal variant
5963:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5964:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5965:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5966:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5967:debug:main Running callback portstartupitem::add_notes
5968:debug:main Finished running callback portstartupitem::add_notes
5969:debug:main Running callback gnulib::setup
5970:debug:main Finished running callback gnulib::setup
5971:debug:main No need to upgrade! m4 1.4.19_1 >= m4 1.4.19_1
5972:debug:main epoch: in tree: 0 installed: 0
5973:debug:main automake 1.17_0 exists in the ports tree
5974:debug:main automake 1.17_0  is the latest installed
5975:debug:main automake 1.17_0  is active
5976:debug:main Merging existing requested variants '' into variants
5977:debug:main new fully merged portvariants:
5978:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/automake
5979:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5980:debug:main only one arch supported, so not adding the default universal variant
5981:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5982:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
5983:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
5984:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
5985:debug:main Running callback portstartupitem::add_notes
5986:debug:main Finished running callback portstartupitem::add_notes
5987:debug:main No need to upgrade! automake 1.17_0 >= automake 1.17_0
5988:debug:main epoch: in tree: 0 installed: 0
5989:debug:main libtool 2.4.7_4 exists in the ports tree
5990:debug:main libtool 2.4.7_4  is the latest installed
5991:debug:main libtool 2.4.7_4  is active
5992:debug:main Merging existing requested variants '' into variants
5993:debug:main new fully merged portvariants:
5994:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/libtool
5995:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
5996:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
5997:debug:main adding the default universal variant
5998:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
5999:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6000:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6001:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6002:debug:main Running callback portstartupitem::add_notes
6003:debug:main Finished running callback portstartupitem::add_notes
6004:debug:main No need to upgrade! libtool 2.4.7_4 >= libtool 2.4.7_4
6005:debug:main epoch: in tree: 0 installed: 0
6006:debug:main libjpeg-turbo 3.0.3_0 exists in the ports tree
6007:debug:main libjpeg-turbo 3.0.3_0  is the latest installed
6008:debug:main libjpeg-turbo 3.0.3_0  is active
6009:debug:main Merging existing requested variants '' into variants
6010:debug:main new fully merged portvariants:
6011:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/libjpeg-turbo
6012:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6013:debug:main Re-registering default for destroot.target
6014:debug:main Re-registering default for configure.dir
6015:debug:main Re-registering default for build.dir
6016:debug:main Re-registering default for configure.pre_args
6017:debug:main Sourcing PortGroup cmake 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/cmake-1.1.tcl
6018:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
6019:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
6020:debug:main Re-registering default for extract.rename
6021:debug:main Re-registering default for livecheck.url
6022:debug:main Re-registering default for livecheck.regex
6023:debug:main Re-registering default for extract.rename
6024:debug:main muniversal: adding universal variant
6025:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6026:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6027:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6028:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6029:debug:main Running callback portstartupitem::add_notes
6030:debug:main Finished running callback portstartupitem::add_notes
6031:debug:main No need to upgrade! libjpeg-turbo 3.0.3_0 >= libjpeg-turbo 3.0.3_0
6032:debug:main epoch: in tree: 0 installed: 0
6033:debug:main libpng 1.6.43_0 exists in the ports tree
6034:debug:main libpng 1.6.43_0  is the latest installed
6035:debug:main libpng 1.6.43_0  is active
6036:debug:main Merging existing requested variants '' into variants
6037:debug:main new fully merged portvariants:
6038:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/libpng
6039:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6040:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
6041:debug:main muniversal: adding universal variant
6042:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6043:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6044:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6045:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6046:debug:main Running callback portstartupitem::add_notes
6047:debug:main Finished running callback portstartupitem::add_notes
6048:debug:main No need to upgrade! libpng 1.6.43_0 >= libpng 1.6.43_0
6049:debug:main epoch: in tree: 0 installed: 0
6050:debug:main zlib 1.3.1_0 exists in the ports tree
6051:debug:main zlib 1.3.1_0  is the latest installed
6052:debug:main zlib 1.3.1_0  is active
6053:debug:main Merging existing requested variants '' into variants
6054:debug:main new fully merged portvariants:
6055:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/archivers/zlib
6056:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6057:debug:main Sourcing PortGroup xcodeversion 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/xcodeversion-1.0.tcl
6058:debug:main adding the default universal variant
6059:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6060:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6061:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6062:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6063:debug:main Running callback portstartupitem::add_notes
6064:debug:main Finished running callback portstartupitem::add_notes
6065:debug:main No need to upgrade! zlib 1.3.1_0 >= zlib 1.3.1_0
6066:debug:main epoch: in tree: 0 installed: 0
6067:debug:main webp 1.4.0_0 exists in the ports tree
6068:debug:main webp 1.4.0_0  is the latest installed
6069:debug:main webp 1.4.0_0  is active
6070:debug:main Merging existing requested variants '' into variants
6071:debug:main new fully merged portvariants:
6072:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/webp
6073:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6074:debug:main adding the default universal variant
6075:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6076:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6077:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6078:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6079:debug:main Running callback portstartupitem::add_notes
6080:debug:main Finished running callback portstartupitem::add_notes
6081:debug:main No need to upgrade! webp 1.4.0_0 >= webp 1.4.0_0
6082:debug:main epoch: in tree: 0 installed: 0
6083:debug:main giflib 4.2.3_1 exists in the ports tree
6084:debug:main giflib 4.2.3_1  is the latest installed
6085:debug:main giflib 4.2.3_1  is active
6086:debug:main Merging existing requested variants '' into variants
6087:debug:main new fully merged portvariants:
6088:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/giflib
6089:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6090:debug:main adding the default universal variant
6091:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6092:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6093:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6094:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6095:debug:main Running callback portstartupitem::add_notes
6096:debug:main Finished running callback portstartupitem::add_notes
6097:debug:main No need to upgrade! giflib 4.2.3_1 >= giflib 4.2.3_1
6098:debug:main epoch: in tree: 0 installed: 0
6099:debug:main tiff 4.6.0_0 exists in the ports tree
6100:debug:main tiff 4.6.0_0  is the latest installed
6101:debug:main tiff 4.6.0_0  is active
6102:debug:main Merging existing requested variants '' into variants
6103:debug:main new fully merged portvariants:
6104:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/tiff
6105:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6106:debug:main Sourcing PortGroup xcodeversion 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/xcodeversion-1.0.tcl
6107:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
6108:debug:main muniversal: adding universal variant
6109:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6110:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6111:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6112:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6113:debug:main Running callback portstartupitem::add_notes
6114:debug:main Finished running callback portstartupitem::add_notes
6115:debug:main No need to upgrade! tiff 4.6.0_0 >= tiff 4.6.0_0
6116:debug:main epoch: in tree: 0 installed: 0
6117:debug:main lerc 4.0.0_1 exists in the ports tree
6118:debug:main lerc 4.0.0_1  is the latest installed
6119:debug:main lerc 4.0.0_1  is active
6120:debug:main Merging existing requested variants '' into variants
6121:debug:main new fully merged portvariants:
6122:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/gis/lerc
6123:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6124:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
6125:debug:main Re-registering default for destroot.target
6126:debug:main Re-registering default for configure.dir
6127:debug:main Re-registering default for build.dir
6128:debug:main Re-registering default for configure.pre_args
6129:debug:main Sourcing PortGroup cmake 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/cmake-1.1.tcl
6130:debug:main Re-registering default for extract.rename
6131:debug:main Re-registering default for livecheck.url
6132:debug:main Re-registering default for livecheck.regex
6133:debug:main Re-registering default for extract.rename
6134:debug:main adding the default universal variant
6135:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6136:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6137:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6138:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6139:debug:main Running callback portstartupitem::add_notes
6140:debug:main Finished running callback portstartupitem::add_notes
6141:debug:main No need to upgrade! lerc 4.0.0_1 >= lerc 4.0.0_1
6142:debug:main epoch: in tree: 0 installed: 0
6143:debug:main libdeflate 1.21_0 exists in the ports tree
6144:debug:main libdeflate 1.21_0  is the latest installed
6145:debug:main libdeflate 1.21_0  is active
6146:debug:main Merging existing requested variants '' into variants
6147:debug:main new fully merged portvariants:
6148:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/archivers/libdeflate
6149:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6150:debug:main Re-registering default for destroot.target
6151:debug:main Re-registering default for configure.dir
6152:debug:main Re-registering default for build.dir
6153:debug:main Re-registering default for configure.pre_args
6154:debug:main Sourcing PortGroup cmake 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/cmake-1.1.tcl
6155:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
6156:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
6157:debug:main Sourcing PortGroup legacysupport 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/legacysupport-1.1.tcl
6158:debug:main Re-registering default for extract.rename
6159:debug:main Re-registering default for livecheck.url
6160:debug:main Re-registering default for livecheck.regex
6161:debug:main Re-registering default for extract.rename
6162:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 800}
6163:debug:main adding the default universal variant
6164:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6165:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6166:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6167:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6168:debug:main Running callback portstartupitem::add_notes
6169:debug:main Finished running callback portstartupitem::add_notes
6170:debug:main Running callback legacysupport::add_legacysupport
6171:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
6172:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
6173:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
6174:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
6175:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
6176:debug:main Finished running callback legacysupport::add_legacysupport
6177:debug:main No need to upgrade! libdeflate 1.21_0 >= libdeflate 1.21_0
6178:debug:main epoch: in tree: 1 installed: 1
6179:debug:main xz 5.4.7_0 exists in the ports tree
6180:debug:main xz 5.4.7_0  is the latest installed
6181:debug:main xz 5.4.7_0  is active
6182:debug:main Merging existing requested variants '' into variants
6183:debug:main new fully merged portvariants:
6184:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/archivers/xz
6185:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6186:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
6187:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
6188:debug:main Re-registering default for extract.rename
6189:debug:main Re-registering default for livecheck.url
6190:debug:main Re-registering default for livecheck.regex
6191:debug:main Re-registering default for extract.rename
6192:debug:main adding the default universal variant
6193:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6194:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6195:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6196:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6197:debug:main Running callback portstartupitem::add_notes
6198:debug:main Finished running callback portstartupitem::add_notes
6199:debug:main No need to upgrade! xz 5.4.7_0 >= xz 5.4.7_0
6200:debug:main epoch: in tree: 0 installed: 0
6201:debug:main zstd 1.5.6_0 exists in the ports tree
6202:debug:main zstd 1.5.6_0  is the latest installed
6203:debug:main zstd 1.5.6_0  is active
6204:debug:main Merging existing requested variants '' into variants
6205:debug:main new fully merged portvariants:
6206:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/archivers/zstd
6207:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6208:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
6209:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
6210:debug:main Sourcing PortGroup compiler_wrapper 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_wrapper-1.0.tcl
6211:debug:main Re-registering default for compwrap.add_compiler_flags
6212:debug:main Re-registering default for use_configure
6213:debug:main Re-registering default for universal_variant
6214:debug:main Sourcing PortGroup makefile 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/makefile-1.0.tcl
6215:debug:main Re-registering default for configure.universal_args
6216:debug:main Re-registering default for configure.cc_archflags
6217:debug:main Re-registering default for configure.objc_archflags
6218:debug:main Re-registering default for configure.cxx_archflags
6219:debug:main Re-registering default for configure.objcxx_archflags
6220:debug:main Re-registering default for configure.fc_archflags
6221:debug:main Re-registering default for configure.f90_archflags
6222:debug:main Re-registering default for configure.f77_archflags
6223:debug:main Re-registering default for configure.ld_archflags
6224:debug:main Re-registering default for configure.universal_cflags
6225:debug:main Re-registering default for configure.universal_objcflags
6226:debug:main Re-registering default for configure.universal_cxxflags
6227:debug:main Re-registering default for configure.universal_objcxxflags
6228:debug:main Re-registering default for configure.universal_cppflags
6229:debug:main Re-registering default for configure.universal_ldflags
6230:debug:main Sourcing PortGroup muniversal 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.1.tcl
6231:debug:main Re-registering default for extract.rename
6232:debug:main Re-registering default for livecheck.url
6233:debug:main Re-registering default for livecheck.regex
6234:debug:main Re-registering default for extract.rename
6235:debug:main muniversal: adding universal variant
6236:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6237:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6238:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6239:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6240:debug:main Running callback portstartupitem::add_notes
6241:debug:main Finished running callback portstartupitem::add_notes
6242:debug:main Running callback compwrap::configure_envs
6243:debug:main Finished running callback compwrap::configure_envs
6244:debug:main Running callback makefile_pg::makefile_setup
6245:debug:main Finished running callback makefile_pg::makefile_setup
6246:debug:main Running callback muniversal::add_compiler_flags
6247:debug:main Finished running callback muniversal::add_compiler_flags
6248:debug:main No need to upgrade! zstd 1.5.6_0 >= zstd 1.5.6_0
6249:debug:main epoch: in tree: 0 installed: 0
6250:debug:main lz4 1.10.0_0 exists in the ports tree
6251:debug:main lz4 1.10.0_0  is the latest installed
6252:debug:main lz4 1.10.0_0  is active
6253:debug:main Merging existing requested variants '' into variants
6254:debug:main new fully merged portvariants:
6255:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/archivers/lz4
6256:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6257:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
6258:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
6259:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
6260:debug:main Re-registering default for extract.rename
6261:debug:main Re-registering default for livecheck.url
6262:debug:main Re-registering default for livecheck.regex
6263:debug:main Re-registering default for extract.rename
6264:debug:main muniversal: adding universal variant
6265:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6266:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6267:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6268:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6269:debug:main Running callback portstartupitem::add_notes
6270:debug:main Finished running callback portstartupitem::add_notes
6271:debug:main No need to upgrade! lz4 1.10.0_0 >= lz4 1.10.0_0
6272:debug:main epoch: in tree: 0 installed: 0
6273:debug:main fontconfig 2.15.0_0 exists in the ports tree
6274:debug:main fontconfig 2.15.0_0  is the latest installed
6275:debug:main fontconfig 2.15.0_0  is active
6276:debug:main Merging existing requested variants '' into variants
6277:debug:main new fully merged portvariants:
6278:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/fontconfig
6279:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6280:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
6281:debug:main muniversal: adding universal variant
6282:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6283:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6284:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6285:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6286:debug:main Running callback portstartupitem::add_notes
6287:debug:main Finished running callback portstartupitem::add_notes
6288:debug:main No need to upgrade! fontconfig 2.15.0_0 >= fontconfig 2.15.0_0
6289:debug:main epoch: in tree: 0 installed: 0
6290:debug:main expat 2.6.2_0 exists in the ports tree
6291:debug:main expat 2.6.2_0  is the latest installed
6292:debug:main expat 2.6.2_0  is active
6293:debug:main Merging existing requested variants '' into variants
6294:debug:main new fully merged portvariants:
6295:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/textproc/expat
6296:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6297:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
6298:debug:main muniversal: adding universal variant
6299:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6300:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6301:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6302:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6303:debug:main Running callback portstartupitem::add_notes
6304:debug:main Finished running callback portstartupitem::add_notes
6305:debug:main No need to upgrade! expat 2.6.2_0 >= expat 2.6.2_0
6306:debug:main epoch: in tree: 0 installed: 0
6307:debug:main freetype 2.13.3_0 exists in the ports tree
6308:debug:main freetype 2.13.3_0  is the latest installed
6309:debug:main freetype 2.13.3_0  is active
6310:debug:main Merging existing requested variants '' into variants
6311:debug:main new fully merged portvariants:
6312:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/print/freetype
6313:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6314:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
6315:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
6316:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 500}
6317:debug:main muniversal: adding universal variant
6318:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6319:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6320:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6321:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6322:debug:main Running callback portstartupitem::add_notes
6323:debug:main Finished running callback portstartupitem::add_notes
6324:debug:main No need to upgrade! freetype 2.13.3_0 >= freetype 2.13.3_0
6325:debug:main epoch: in tree: 0 installed: 0
6326:debug:main brotli 1.1.0_0 exists in the ports tree
6327:debug:main brotli 1.1.0_0  is the latest installed
6328:debug:main brotli 1.1.0_0  is active
6329:debug:main Merging existing requested variants '' into variants
6330:debug:main new fully merged portvariants:
6331:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/archivers/brotli
6332:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6333:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
6334:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
6335:debug:main Re-registering default for configure.dir
6336:debug:main Re-registering default for configure.pre_args
6337:debug:main Re-registering default for build.dir
6338:debug:main Re-registering default for destroot.target
6339:debug:main Sourcing PortGroup cmake 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/cmake-1.0.tcl
6340:debug:main Re-registering default for extract.rename
6341:debug:main Re-registering default for livecheck.url
6342:debug:main Re-registering default for livecheck.regex
6343:debug:main adding the default universal variant
6344:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6345:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6346:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6347:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6348:debug:main Running callback portstartupitem::add_notes
6349:debug:main Finished running callback portstartupitem::add_notes
6350:debug:main No need to upgrade! brotli 1.1.0_0 >= brotli 1.1.0_0
6351:debug:main epoch: in tree: 0 installed: 0
6352:debug:main bzip2 1.0.8_0 exists in the ports tree
6353:debug:main bzip2 1.0.8_0  is the latest installed
6354:debug:main bzip2 1.0.8_0  is active
6355:debug:main Merging existing requested variants '' into variants
6356:debug:main new fully merged portvariants:
6357:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/archivers/bzip2
6358:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6359:debug:main universal variant already exists, so not adding the default one
6360:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6361:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6362:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6363:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6364:debug:main Running callback portstartupitem::add_notes
6365:debug:main Finished running callback portstartupitem::add_notes
6366:debug:main No need to upgrade! bzip2 1.0.8_0 >= bzip2 1.0.8_0
6367:debug:main epoch: in tree: 0 installed: 0
6368:debug:main ossp-uuid 1.6.2_13 exists in the ports tree
6369:debug:main ossp-uuid 1.6.2_13 +perl5_34 is the latest installed
6370:debug:main ossp-uuid 1.6.2_13 +perl5_34 is active
6371:debug:main Merging existing requested variants '' into variants
6372:debug:main new fully merged portvariants:
6373:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/ossp-uuid
6374:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6375:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
6376:debug:main Re-registering default for configure.universal_args
6377:debug:main Sourcing PortGroup perl5 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/perl5-1.0.tcl
6378:debug:main muniversal: adding universal variant
6379:debug:main Executing variant perl5_34 provides perl5_34
6380:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6381:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6382:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6383:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6384:debug:main Running callback portstartupitem::add_notes
6385:debug:main Finished running callback portstartupitem::add_notes
6386:debug:main No need to upgrade! ossp-uuid 1.6.2_13 >= ossp-uuid 1.6.2_13
6387:debug:main epoch: in tree: 0 installed: 0
6388:debug:main gd2 2.3.3_7 exists in the ports tree
6389:debug:main gd2 2.3.3_7 +x11 is the latest installed
6390:debug:main gd2 2.3.3_7 +x11 is active
6391:debug:main Merging existing requested variants '' into variants
6392:debug:main new fully merged portvariants:
6393:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/gd2
6394:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6395:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
6396:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
6397:debug:main Removing legacy build support
6398:debug:main Sourcing PortGroup legacysupport 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/legacysupport-1.0.tcl
6399:debug:main Re-registering default for extract.rename
6400:debug:main Re-registering default for livecheck.url
6401:debug:main Re-registering default for livecheck.regex
6402:debug:main Re-registering default for extract.rename
6403:debug:main muniversal: adding universal variant
6404:debug:main Executing variant x11 provides x11
6405:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6406:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6407:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6408:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6409:debug:main Running callback portstartupitem::add_notes
6410:debug:main Finished running callback portstartupitem::add_notes
6411:debug:main Running callback add_legacysupport
6412:debug:main Removing legacy build support
6413:debug:main Finished running callback add_legacysupport
6414:debug:main No need to upgrade! gd2 2.3.3_7 >= gd2 2.3.3_7
6415:debug:main epoch: in tree: 0 installed: 0
6416:debug:main libheif 1.18.2_1 exists in the ports tree
6417:debug:main libheif 1.18.2_1 +kvazaar is the latest installed
6418:debug:main libheif 1.18.2_1 +kvazaar is active
6419:debug:main Merging existing requested variants '' into variants
6420:debug:main new fully merged portvariants:
6421:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/multimedia/libheif
6422:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6423:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
6424:debug:main Re-registering default for destroot.target
6425:debug:main Re-registering default for configure.dir
6426:debug:main Re-registering default for build.dir
6427:debug:main Re-registering default for configure.pre_args
6428:debug:main Sourcing PortGroup cmake 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/cmake-1.1.tcl
6429:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
6430:debug:main Re-registering default for extract.rename
6431:debug:main Re-registering default for livecheck.url
6432:debug:main Re-registering default for livecheck.regex
6433:debug:main Re-registering default for extract.rename
6434:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 700}
6435:debug:main adding the default universal variant
6436:debug:main Executing variant kvazaar provides kvazaar
6437:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6438:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6439:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6440:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6441:debug:main Running callback portstartupitem::add_notes
6442:debug:main Finished running callback portstartupitem::add_notes
6443:debug:main No need to upgrade! libheif 1.18.2_1 >= libheif 1.18.2_1
6444:debug:main epoch: in tree: 0 installed: 0
6445:debug:main aom 3.9.1_0 exists in the ports tree
6446:debug:main aom 3.9.1_0  is the latest installed
6447:debug:main aom 3.9.1_0  is active
6448:debug:main Merging existing requested variants '' into variants
6449:debug:main new fully merged portvariants:
6450:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/multimedia/aom
6451:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6452:debug:main Re-registering default for destroot.target
6453:debug:main Re-registering default for configure.dir
6454:debug:main Re-registering default for build.dir
6455:debug:main Re-registering default for configure.pre_args
6456:debug:main Sourcing PortGroup cmake 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/cmake-1.1.tcl
6457:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
6458:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
6459:debug:main Sourcing PortGroup legacysupport 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/legacysupport-1.1.tcl
6460:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
6461:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
6462:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
6463:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
6464:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
6465:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 800}
6466:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 1300}
6467:debug:main muniversal: adding universal variant
6468:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6469:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6470:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6471:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6472:debug:main Running callback portstartupitem::add_notes
6473:debug:main Finished running callback portstartupitem::add_notes
6474:debug:main Running callback legacysupport::add_legacysupport
6475:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
6476:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
6477:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
6478:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
6479:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
6480:debug:main Finished running callback legacysupport::add_legacysupport
6481:debug:main No need to upgrade! aom 3.9.1_0 >= aom 3.9.1_0
6482:debug:main epoch: in tree: 0 installed: 0
6483:debug:main dav1d 1.4.3_0 exists in the ports tree
6484:debug:main dav1d 1.4.3_0  is the latest installed
6485:debug:main dav1d 1.4.3_0  is active
6486:debug:main Merging existing requested variants '' into variants
6487:debug:main new fully merged portvariants:
6488:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/multimedia/dav1d
6489:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6490:debug:main Re-registering default for configure.cmd
6491:debug:main Re-registering default for configure.pre_args
6492:debug:main Re-registering default for build.dir
6493:debug:main Re-registering default for build.cmd
6494:debug:main Re-registering default for build.target
6495:debug:main Re-registering default for destroot.cmd
6496:debug:main Re-registering default for destroot.post_args
6497:debug:main Sourcing PortGroup meson 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/meson-1.0.tcl
6498:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
6499:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
6500:debug:main Sourcing PortGroup legacysupport 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/legacysupport-1.1.tcl
6501:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
6502:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
6503:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
6504:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
6505:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
6506:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match { clang < 700 }
6507:debug:main muniversal: adding universal variant
6508:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6509:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6510:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6511:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6512:debug:main Running callback portstartupitem::add_notes
6513:debug:main Finished running callback portstartupitem::add_notes
6514:debug:main Running callback meson::add_depends
6515:debug:main Finished running callback meson::add_depends
6516:debug:main Running callback legacysupport::add_legacysupport
6517:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
6518:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
6519:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
6520:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
6521:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
6522:debug:main Finished running callback legacysupport::add_legacysupport
6523:debug:main No need to upgrade! dav1d 1.4.3_0 >= dav1d 1.4.3_0
6524:debug:main epoch: in tree: 2 installed: 2
6525:debug:main gdk-pixbuf2 2.42.12_0 exists in the ports tree
6526:debug:main gdk-pixbuf2 2.42.12_0  is the latest installed
6527:debug:main gdk-pixbuf2 2.42.12_0  is active
6528:debug:main Merging existing requested variants '' into variants
6529:debug:main new fully merged portvariants:
6530:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/gdk-pixbuf2
6531:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6532:debug:main Re-registering default for configure.cmd
6533:debug:main Re-registering default for configure.pre_args
6534:debug:main Re-registering default for build.dir
6535:debug:main Re-registering default for build.cmd
6536:debug:main Re-registering default for build.target
6537:debug:main Re-registering default for destroot.cmd
6538:debug:main Re-registering default for destroot.post_args
6539:debug:main Sourcing PortGroup meson 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/meson-1.0.tcl
6540:debug:main Sourcing PortGroup legacysupport 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/legacysupport-1.1.tcl
6541:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
6542:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
6543:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
6544:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
6545:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
6546:debug:main adding the default universal variant
6547:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6548:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6549:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6550:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6551:debug:main Running callback portstartupitem::add_notes
6552:debug:main Finished running callback portstartupitem::add_notes
6553:debug:main Running callback meson::add_depends
6554:debug:main Finished running callback meson::add_depends
6555:debug:main Running callback legacysupport::add_legacysupport
6556:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
6557:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
6558:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
6559:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
6560:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
6561:debug:main Finished running callback legacysupport::add_legacysupport
6562:debug:main No need to upgrade! gdk-pixbuf2 2.42.12_0 >= gdk-pixbuf2 2.42.12_0
6563:debug:main epoch: in tree: 1 installed: 1
6564:debug:main glib2 2.78.4_1 exists in the ports tree
6565:debug:main glib2 2.78.4_1 +x11 is the latest installed
6566:debug:main glib2 2.78.4_1 +x11 is active
6567:debug:main Merging existing requested variants '' into variants
6568:debug:main new fully merged portvariants:
6569:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/glib2
6570:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6571:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
6572:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
6573:debug:main Sourcing PortGroup conflicts_build 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/conflicts_build-1.0.tcl
6574:debug:main pg_debug: adding variants
6575:debug:main Sourcing PortGroup debug 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/debug-1.0.tcl
6576:debug:main Sourcing PortGroup legacysupport 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/legacysupport-1.1.tcl
6577:debug:main Re-registering default for configure.cmd
6578:debug:main Re-registering default for configure.pre_args
6579:debug:main Re-registering default for build.dir
6580:debug:main Re-registering default for build.cmd
6581:debug:main Re-registering default for build.target
6582:debug:main Re-registering default for destroot.cmd
6583:debug:main Re-registering default for destroot.post_args
6584:debug:main Sourcing PortGroup meson 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/meson-1.0.tcl
6585:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
6586:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
6587:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
6588:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
6589:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
6590:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
6591:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 700}
6592:debug:main port_conflict_check: Checking for conflict against port: gobject-introspection
6593:debug:main port_conflict_check: gobject-introspection active version: 1.78.1_3
6594:debug:main port_conflict_check: gobject-introspection does not conflict
6595:debug:main port_conflict_check: Checking for conflict against port: gobject-introspection-devel
6596:debug:main muniversal: adding universal variant
6597:debug:main Executing variant x11 provides x11
6598:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6599:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6600:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6601:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6602:debug:main Running callback portstartupitem::add_notes
6603:debug:main Finished running callback portstartupitem::add_notes
6604:debug:main Running callback debug::pg_callback
6605:debug:main debug::pg_callback: debug mode: disabled
6606:debug:main Finished running callback debug::pg_callback
6607:debug:main Running callback legacysupport::add_legacysupport
6608:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
6609:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
6610:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
6611:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
6612:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
6613:debug:main Finished running callback legacysupport::add_legacysupport
6614:debug:main Running callback meson::add_depends
6615:debug:main Finished running callback meson::add_depends
6616:debug:main No need to upgrade! glib2 2.78.4_1 >= glib2 2.78.4_1
6617:debug:main epoch: in tree: 0 installed: 0
6618:debug:main libelf 0.8.13_2 exists in the ports tree
6619:debug:main libelf 0.8.13_2  is the latest installed
6620:debug:main libelf 0.8.13_2  is active
6621:debug:main Merging existing requested variants '' into variants
6622:debug:main new fully merged portvariants:
6623:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/libelf
6624:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6625:debug:main adding the default universal variant
6626:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6627:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6628:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6629:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6630:debug:main Running callback portstartupitem::add_notes
6631:debug:main Finished running callback portstartupitem::add_notes
6632:debug:main No need to upgrade! libelf 0.8.13_2 >= libelf 0.8.13_2
6633:debug:main epoch: in tree: 0 installed: 0
6634:debug:main libffi 3.4.6_1 exists in the ports tree
6635:debug:main libffi 3.4.6_1  is the latest installed
6636:debug:main libffi 3.4.6_1  is active
6637:debug:main Merging existing requested variants '' into variants
6638:debug:main new fully merged portvariants:
6639:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/libffi
6640:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6641:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
6642:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
6643:debug:main Re-registering default for extract.rename
6644:debug:main Re-registering default for livecheck.url
6645:debug:main Re-registering default for livecheck.regex
6646:debug:main Re-registering default for extract.rename
6647:debug:main muniversal: adding universal variant
6648:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6649:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6650:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6651:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6652:debug:main Running callback portstartupitem::add_notes
6653:debug:main Finished running callback portstartupitem::add_notes
6654:debug:main No need to upgrade! libffi 3.4.6_1 >= libffi 3.4.6_1
6655:debug:main epoch: in tree: 0 installed: 0
6656:debug:main pcre2 10.44_0 exists in the ports tree
6657:debug:main pcre2 10.44_0  is the latest installed
6658:debug:main pcre2 10.44_0  is active
6659:debug:main Merging existing requested variants '' into variants
6660:debug:main new fully merged portvariants:
6661:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/pcre
6662:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6663:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
6664:debug:main Re-registering default for extract.rename
6665:debug:main Re-registering default for livecheck.url
6666:debug:main Re-registering default for livecheck.regex
6667:debug:main Re-registering default for extract.rename
6668:debug:main universal variant already exists, so not adding the default one
6669:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6670:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6671:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6672:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6673:debug:main Running callback portstartupitem::add_notes
6674:debug:main Finished running callback portstartupitem::add_notes
6675:debug:main No need to upgrade! pcre2 10.44_0 >= pcre2 10.44_0
6676:debug:main epoch: in tree: 20090923 installed: 20090923
6677:debug:main libedit 20240808-3.1_0 exists in the ports tree
6678:debug:main libedit 20240808-3.1_0  is the latest installed
6679:debug:main libedit 20240808-3.1_0  is active
6680:debug:main Merging existing requested variants '' into variants
6681:debug:main new fully merged portvariants:
6682:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/libedit
6683:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6684:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
6685:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
6686:debug:main muniversal: adding universal variant
6687:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6688:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6689:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6690:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6691:debug:main Running callback portstartupitem::add_notes
6692:debug:main Finished running callback portstartupitem::add_notes
6693:debug:main No need to upgrade! libedit 20240808-3.1_0 >= libedit 20240808-3.1_0
6694:debug:main epoch: in tree: 0 installed: 0
6695:debug:main python312 3.12.6_0 exists in the ports tree
6696:debug:main python312 3.12.6_0 +lto+optimizations is the latest installed
6697:debug:main python312 3.12.6_0 +lto+optimizations is active
6698:debug:main Merging existing requested variants '' into variants
6699:debug:main new fully merged portvariants:
6700:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/lang/python312
6701:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6702:debug:main universal variant already exists, so not adding the default one
6703:debug:main Executing variant optimizations provides optimizations
6704:debug:main Executing variant lto provides lto
6705:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6706:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6707:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6708:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6709:debug:main Running callback portstartupitem::add_notes
6710:debug:main Finished running callback portstartupitem::add_notes
6711:debug:main No need to upgrade! python312 3.12.6_0 >= python312 3.12.6_0
6712:debug:main epoch: in tree: 2 installed: 2
6713:debug:main openssl 3_20 exists in the ports tree
6714:debug:main openssl 3_20  is the latest installed
6715:debug:main openssl 3_20  is active
6716:debug:main Merging existing requested variants '' into variants
6717:debug:main new fully merged portvariants:
6718:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/openssl
6719:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6720:debug:main Sourcing PortGroup compiler_wrapper 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_wrapper-1.0.tcl
6721:debug:main Sourcing PortGroup openssl 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/openssl-1.0.tcl
6722:debug:main openssl: Set OpenSSL Branch dependency 3
6723:debug:main openssl: configure_proc set : Configure ''
6724:debug:main adding the default universal variant
6725:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6726:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6727:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6728:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6729:debug:main Running callback portstartupitem::add_notes
6730:debug:main Finished running callback portstartupitem::add_notes
6731:debug:main Running callback compwrap::configure_envs
6732:debug:main Finished running callback compwrap::configure_envs
6733:debug:main Running callback openssl::set_openssl_dependency
6734:debug:main openssl: Set OpenSSL Branch dependency 3
6735:debug:main Finished running callback openssl::set_openssl_dependency
6736:debug:main Running callback openssl::check_for_cmake
6737:debug:main Finished running callback openssl::check_for_cmake
6738:debug:main Running callback openssl::configure_build
6739:debug:main Finished running callback openssl::configure_build
6740:debug:main No need to upgrade! openssl 3_20 >= openssl 3_20
6741:debug:main epoch: in tree: 1 installed: 1
6742:debug:main openssl3 3.3.2_0 exists in the ports tree
6743:debug:main openssl3 3.3.2_0  is the latest installed
6744:debug:main openssl3 3.3.2_0  is active
6745:debug:main Merging existing requested variants '' into variants
6746:debug:main new fully merged portvariants:
6747:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/openssl3
6748:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6749:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
6750:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
6751:debug:main Sourcing PortGroup legacysupport 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/legacysupport-1.1.tcl
6752:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
6753:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
6754:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
6755:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
6756:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
6757:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
6758:debug:main Re-registering default for extract.rename
6759:debug:main Re-registering default for livecheck.url
6760:debug:main Re-registering default for livecheck.regex
6761:debug:main Re-registering default for extract.rename
6762:debug:main muniversal: adding universal variant
6763:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6764:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6765:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6766:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6767:debug:main Running callback portstartupitem::add_notes
6768:debug:main Finished running callback portstartupitem::add_notes
6769:debug:main Running callback legacysupport::add_legacysupport
6770:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
6771:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
6772:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
6773:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
6774:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
6775:debug:main Finished running callback legacysupport::add_legacysupport
6776:debug:main No need to upgrade! openssl3 3.3.2_0 >= openssl3 3.3.2_0
6777:debug:main epoch: in tree: 0 installed: 0
6778:debug:main sqlite3 3.46.1_0 exists in the ports tree
6779:debug:main sqlite3 3.46.1_0  is the latest installed
6780:debug:main sqlite3 3.46.1_0  is active
6781:debug:main Merging existing requested variants '' into variants
6782:debug:main new fully merged portvariants:
6783:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/databases/sqlite3
6784:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6785:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
6786:debug:main adding the default universal variant
6787:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6788:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6789:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6790:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6791:debug:main Running callback portstartupitem::add_notes
6792:debug:main Finished running callback portstartupitem::add_notes
6793:debug:main No need to upgrade! sqlite3 3.46.1_0 >= sqlite3 3.46.1_0
6794:debug:main epoch: in tree: 0 installed: 0
6795:debug:main python_select-312 0.3_1 exists in the ports tree
6796:debug:main python_select-312 0.3_1  is the latest installed
6797:debug:main python_select-312 0.3_1  is active
6798:debug:main Merging existing requested variants '' into variants
6799:debug:main new fully merged portvariants:
6800:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/sysutils/python_select
6801:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6802:debug:main Sourcing PortGroup select 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/select-1.0.tcl
6803:debug:main universal_variant is false, so not adding the default universal variant
6804:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6805:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6806:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6807:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6808:debug:main Running callback portstartupitem::add_notes
6809:debug:main Finished running callback portstartupitem::add_notes
6810:debug:main No need to upgrade! python_select-312 0.3_1 >= python_select-312 0.3_1
6811:debug:main epoch: in tree: 0 installed: 0
6812:debug:main python_select 0.3_10 exists in the ports tree
6813:debug:main python_select 0.3_10  is the latest installed
6814:debug:main python_select 0.3_10  is active
6815:debug:main Merging existing requested variants '' into variants
6816:debug:main new fully merged portvariants:
6817:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/sysutils/python_select
6818:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6819:debug:main Sourcing PortGroup select 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/select-1.0.tcl
6820:debug:main universal_variant is false, so not adding the default universal variant
6821:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6822:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6823:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6824:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6825:debug:main Running callback portstartupitem::add_notes
6826:debug:main Finished running callback portstartupitem::add_notes
6827:debug:main No need to upgrade! python_select 0.3_10 >= python_select 0.3_10
6828:debug:main epoch: in tree: 0 installed: 0
6829:debug:main python3_select-312 0.1_1 exists in the ports tree
6830:debug:main python3_select-312 0.1_1  is the latest installed
6831:debug:main python3_select-312 0.1_1  is active
6832:debug:main Merging existing requested variants '' into variants
6833:debug:main new fully merged portvariants:
6834:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/sysutils/python3_select
6835:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6836:debug:main Sourcing PortGroup select 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/select-1.0.tcl
6837:debug:main universal_variant is false, so not adding the default universal variant
6838:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6839:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6840:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6841:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6842:debug:main Running callback portstartupitem::add_notes
6843:debug:main Finished running callback portstartupitem::add_notes
6844:debug:main No need to upgrade! python3_select-312 0.1_1 >= python3_select-312 0.1_1
6845:debug:main epoch: in tree: 0 installed: 0
6846:debug:main python3_select 0.1_0 exists in the ports tree
6847:debug:main python3_select 0.1_0  is the latest installed
6848:debug:main python3_select 0.1_0  is active
6849:debug:main Merging existing requested variants '' into variants
6850:debug:main new fully merged portvariants:
6851:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/sysutils/python3_select
6852:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6853:debug:main Sourcing PortGroup select 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/select-1.0.tcl
6854:debug:main universal_variant is false, so not adding the default universal variant
6855:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6856:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6857:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6858:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6859:debug:main Running callback portstartupitem::add_notes
6860:debug:main Finished running callback portstartupitem::add_notes
6861:debug:main No need to upgrade! python3_select 0.1_0 >= python3_select 0.1_0
6862:debug:main epoch: in tree: 0 installed: 0
6863:debug:main py312-packaging 24.1_0 exists in the ports tree
6864:debug:main py312-packaging 24.1_0  is the latest installed
6865:debug:main py312-packaging 24.1_0  is active
6866:debug:main Merging existing requested variants '' into variants
6867:debug:main new fully merged portvariants:
6868:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/python/py-packaging
6869:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6870:debug:main Re-registering default for distname
6871:debug:main Re-registering default for build.cmd
6872:debug:main Re-registering default for build.target
6873:debug:main Re-registering default for destroot.cmd
6874:debug:main Re-registering default for destroot.destdir
6875:debug:main Re-registering default for destroot.target
6876:debug:main Re-registering default for test.cmd
6877:debug:main Re-registering default for test.target
6878:debug:main Sourcing PortGroup python 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/python-1.0.tcl
6879:debug:main only one arch supported, so not adding the default universal variant
6880:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6881:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6882:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6883:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6884:debug:main Running callback portstartupitem::add_notes
6885:debug:main Finished running callback portstartupitem::add_notes
6886:debug:main Running callback python_add_dependencies
6887:debug:main Finished running callback python_add_dependencies
6888:debug:main No need to upgrade! py312-packaging 24.1_0 >= py312-packaging 24.1_0
6889:debug:main epoch: in tree: 1 installed: 1
6890:debug:main gobject-introspection 1.78.1_3 exists in the ports tree
6891:debug:main gobject-introspection 1.78.1_3  is the latest installed
6892:debug:main gobject-introspection 1.78.1_3  is active
6893:debug:main Merging existing requested variants '' into variants
6894:debug:main new fully merged portvariants:
6895:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/gnome/gobject-introspection
6896:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6897:debug:main Re-registering default for configure.cmd
6898:debug:main Re-registering default for configure.pre_args
6899:debug:main Re-registering default for build.dir
6900:debug:main Re-registering default for build.cmd
6901:debug:main Re-registering default for build.target
6902:debug:main Re-registering default for destroot.cmd
6903:debug:main Re-registering default for destroot.post_args
6904:debug:main Sourcing PortGroup meson 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/meson-1.0.tcl
6905:debug:main Sourcing PortGroup active_variants 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/active_variants-1.1.tcl
6906:debug:main adding the default universal variant
6907:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6908:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6909:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6910:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6911:debug:main Running callback portstartupitem::add_notes
6912:debug:main Finished running callback portstartupitem::add_notes
6913:debug:main Running callback meson::add_depends
6914:debug:main Finished running callback meson::add_depends
6915:debug:main No need to upgrade! gobject-introspection 1.78.1_3 >= gobject-introspection 1.78.1_3
6916:debug:main epoch: in tree: 0 installed: 0
6917:debug:main cairo 1.17.6_2 exists in the ports tree
6918:debug:main cairo 1.17.6_2 +quartz+x11 is the latest installed
6919:debug:main cairo 1.17.6_2 +quartz+x11 is active
6920:debug:main Merging existing requested variants '' into variants
6921:debug:main new fully merged portvariants:
6922:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/cairo
6923:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6924:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
6925:debug:main pg_debug: adding variants
6926:debug:main Sourcing PortGroup debug 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/debug-1.0.tcl
6927:debug:main Sourcing PortGroup legacysupport 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/legacysupport-1.1.tcl
6928:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
6929:debug:main Sourcing PortGroup xcodeversion 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/xcodeversion-1.0.tcl
6930:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
6931:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
6932:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
6933:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
6934:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
6935:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 318.0.61}
6936:debug:main muniversal: adding universal variant
6937:debug:main Executing variant quartz provides quartz
6938:debug:main Executing variant x11 provides x11
6939:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6940:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6941:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6942:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6943:debug:main Running callback portstartupitem::add_notes
6944:debug:main Finished running callback portstartupitem::add_notes
6945:debug:main Running callback debug::pg_callback
6946:debug:main debug::pg_callback: debug mode: disabled
6947:debug:main Finished running callback debug::pg_callback
6948:debug:main Running callback legacysupport::add_legacysupport
6949:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
6950:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
6951:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
6952:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
6953:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
6954:debug:main Finished running callback legacysupport::add_legacysupport
6955:debug:main No need to upgrade! cairo 1.17.6_2 >= cairo 1.17.6_2
6956:debug:main epoch: in tree: 0 installed: 0
6957:debug:main libpixman 0.43.4_1 exists in the ports tree
6958:debug:main libpixman 0.43.4_1  is the latest installed
6959:debug:main libpixman 0.43.4_1  is active
6960:debug:main Merging existing requested variants '' into variants
6961:debug:main new fully merged portvariants:
6962:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/libpixman
6963:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
6964:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
6965:debug:main Sourcing PortGroup legacysupport 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/legacysupport-1.1.tcl
6966:debug:main Re-registering default for configure.cmd
6967:debug:main Re-registering default for configure.pre_args
6968:debug:main Re-registering default for build.dir
6969:debug:main Re-registering default for build.cmd
6970:debug:main Re-registering default for build.target
6971:debug:main Re-registering default for destroot.cmd
6972:debug:main Re-registering default for destroot.post_args
6973:debug:main Sourcing PortGroup meson 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/meson-1.0.tcl
6974:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
6975:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
6976:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
6977:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
6978:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
6979:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
6980:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 211.10.1}
6981:debug:main muniversal: adding universal variant
6982:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
6983:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
6984:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
6985:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
6986:debug:main Running callback portstartupitem::add_notes
6987:debug:main Finished running callback portstartupitem::add_notes
6988:debug:main Running callback legacysupport::add_legacysupport
6989:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
6990:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
6991:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
6992:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
6993:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
6994:debug:main Finished running callback legacysupport::add_legacysupport
6995:debug:main Running callback meson::add_depends
6996:debug:main Finished running callback meson::add_depends
6997:debug:main No need to upgrade! libpixman 0.43.4_1 >= libpixman 0.43.4_1
6998:debug:main epoch: in tree: 0 installed: 0
6999:debug:main xrender 0.9.11_0 exists in the ports tree
7000:debug:main xrender 0.9.11_0  is the latest installed
7001:debug:main xrender 0.9.11_0  is active
7002:debug:main Merging existing requested variants '' into variants
7003:debug:main new fully merged portvariants:
7004:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xrender
7005:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7006:debug:main adding the default universal variant
7007:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7008:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7009:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7010:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7011:debug:main Running callback portstartupitem::add_notes
7012:debug:main Finished running callback portstartupitem::add_notes
7013:debug:main No need to upgrade! xrender 0.9.11_0 >= xrender 0.9.11_0
7014:debug:main epoch: in tree: 0 installed: 0
7015:debug:main xorg-libX11 1.8.10_0 exists in the ports tree
7016:debug:main xorg-libX11 1.8.10_0  is the latest installed
7017:debug:main xorg-libX11 1.8.10_0  is active
7018:debug:main Merging existing requested variants '' into variants
7019:debug:main new fully merged portvariants:
7020:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-libX11
7021:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7022:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
7023:debug:main adding the default universal variant
7024:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7025:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7026:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7027:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7028:debug:main Running callback portstartupitem::add_notes
7029:debug:main Finished running callback portstartupitem::add_notes
7030:debug:main No need to upgrade! xorg-libX11 1.8.10_0 >= xorg-libX11 1.8.10_0
7031:debug:main epoch: in tree: 0 installed: 0
7032:debug:main xorg-libXdmcp 1.1.5_0 exists in the ports tree
7033:debug:main xorg-libXdmcp 1.1.5_0  is the latest installed
7034:debug:main xorg-libXdmcp 1.1.5_0  is active
7035:debug:main Merging existing requested variants '' into variants
7036:debug:main new fully merged portvariants:
7037:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-libXdmcp
7038:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7039:debug:main adding the default universal variant
7040:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7041:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7042:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7043:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7044:debug:main Running callback portstartupitem::add_notes
7045:debug:main Finished running callback portstartupitem::add_notes
7046:debug:main No need to upgrade! xorg-libXdmcp 1.1.5_0 >= xorg-libXdmcp 1.1.5_0
7047:debug:main epoch: in tree: 0 installed: 0
7048:debug:main xorg-libXau 1.0.11_0 exists in the ports tree
7049:debug:main xorg-libXau 1.0.11_0  is the latest installed
7050:debug:main xorg-libXau 1.0.11_0  is active
7051:debug:main Merging existing requested variants '' into variants
7052:debug:main new fully merged portvariants:
7053:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-libXau
7054:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7055:debug:main adding the default universal variant
7056:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7057:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7058:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7059:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7060:debug:main Running callback portstartupitem::add_notes
7061:debug:main Finished running callback portstartupitem::add_notes
7062:debug:main No need to upgrade! xorg-libXau 1.0.11_0 >= xorg-libXau 1.0.11_0
7063:debug:main epoch: in tree: 1 installed: 1
7064:debug:main xorg-xorgproto 2024.1_0 exists in the ports tree
7065:debug:main xorg-xorgproto 2024.1_0  is the latest installed
7066:debug:main xorg-xorgproto 2024.1_0  is active
7067:debug:main Merging existing requested variants '' into variants
7068:debug:main new fully merged portvariants:
7069:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-xorgproto
7070:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7071:debug:main only one arch supported, so not adding the default universal variant
7072:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7073:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7074:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7075:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7076:debug:main Running callback portstartupitem::add_notes
7077:debug:main Finished running callback portstartupitem::add_notes
7078:debug:main No need to upgrade! xorg-xorgproto 2024.1_0 >= xorg-xorgproto 2024.1_0
7079:debug:main epoch: in tree: 0 installed: 0
7080:debug:main xorg-libxcb 1.17.0_0 exists in the ports tree
7081:debug:main xorg-libxcb 1.17.0_0 +python312 is the latest installed
7082:debug:main xorg-libxcb 1.17.0_0 +python312 is active
7083:debug:main Merging existing requested variants '' into variants
7084:debug:main new fully merged portvariants:
7085:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-libxcb
7086:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7087:debug:main adding the default universal variant
7088:debug:main Executing variant python312 provides python312
7089:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7090:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7091:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7092:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7093:debug:main Running callback portstartupitem::add_notes
7094:debug:main Finished running callback portstartupitem::add_notes
7095:debug:main No need to upgrade! xorg-libxcb 1.17.0_0 >= xorg-libxcb 1.17.0_0
7096:debug:main epoch: in tree: 0 installed: 0
7097:debug:main xorg-xcb-proto 1.17.0_0 exists in the ports tree
7098:debug:main xorg-xcb-proto 1.17.0_0 +python312 is the latest installed
7099:debug:main xorg-xcb-proto 1.17.0_0 +python312 is active
7100:debug:main Merging existing requested variants '' into variants
7101:debug:main new fully merged portvariants:
7102:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-xcb-proto
7103:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7104:debug:main only one arch supported, so not adding the default universal variant
7105:debug:main Executing variant python312 provides python312
7106:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7107:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7108:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7109:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7110:debug:main Running callback portstartupitem::add_notes
7111:debug:main Finished running callback portstartupitem::add_notes
7112:debug:main No need to upgrade! xorg-xcb-proto 1.17.0_0 >= xorg-xcb-proto 1.17.0_0
7113:debug:main epoch: in tree: 0 installed: 0
7114:debug:main libxml2 2.13.3_0 exists in the ports tree
7115:debug:main libxml2 2.13.3_0  is the latest installed
7116:debug:main libxml2 2.13.3_0  is active
7117:debug:main Merging existing requested variants '' into variants
7118:debug:main new fully merged portvariants:
7119:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/textproc/libxml2
7120:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7121:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
7122:debug:main adding the default universal variant
7123:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7124:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7125:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7126:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7127:debug:main Running callback portstartupitem::add_notes
7128:debug:main Finished running callback portstartupitem::add_notes
7129:debug:main No need to upgrade! libxml2 2.13.3_0 >= libxml2 2.13.3_0
7130:debug:main epoch: in tree: 1 installed: 1
7131:debug:main icu 74.2_0 exists in the ports tree
7132:debug:main icu 74.2_0  is the latest installed
7133:debug:main icu 74.2_0  is active
7134:debug:main Merging existing requested variants '' into variants
7135:debug:main new fully merged portvariants:
7136:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/icu
7137:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7138:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
7139:debug:main adding the default universal variant
7140:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7141:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7142:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7143:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7144:debug:main Running callback portstartupitem::add_notes
7145:debug:main Finished running callback portstartupitem::add_notes
7146:debug:main No need to upgrade! icu 74.2_0 >= icu 74.2_0
7147:debug:main epoch: in tree: 0 installed: 0
7148:debug:main xorg-libXext 1.3.6_0 exists in the ports tree
7149:debug:main xorg-libXext 1.3.6_0  is the latest installed
7150:debug:main xorg-libXext 1.3.6_0  is active
7151:debug:main Merging existing requested variants '' into variants
7152:debug:main new fully merged portvariants:
7153:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-libXext
7154:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7155:debug:main adding the default universal variant
7156:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7157:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7158:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7159:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7160:debug:main Running callback portstartupitem::add_notes
7161:debug:main Finished running callback portstartupitem::add_notes
7162:debug:main No need to upgrade! xorg-libXext 1.3.6_0 >= xorg-libXext 1.3.6_0
7163:debug:main epoch: in tree: 0 installed: 0
7164:debug:main xorg-xcb-util 0.4.1_0 exists in the ports tree
7165:debug:main xorg-xcb-util 0.4.1_0  is the latest installed
7166:debug:main xorg-xcb-util 0.4.1_0  is active
7167:debug:main Merging existing requested variants '' into variants
7168:debug:main new fully merged portvariants:
7169:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-xcb-util
7170:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7171:debug:main adding the default universal variant
7172:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7173:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7174:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7175:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7176:debug:main Running callback portstartupitem::add_notes
7177:debug:main Finished running callback portstartupitem::add_notes
7178:debug:main No need to upgrade! xorg-xcb-util 0.4.1_0 >= xorg-xcb-util 0.4.1_0
7179:debug:main epoch: in tree: 0 installed: 0
7180:debug:main py312-mako 1.3.5_0 exists in the ports tree
7181:debug:main py312-mako 1.3.5_0  is the latest installed
7182:debug:main py312-mako 1.3.5_0  is active
7183:debug:main Merging existing requested variants '' into variants
7184:debug:main new fully merged portvariants:
7185:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/python/py-mako
7186:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7187:debug:main Re-registering default for distname
7188:debug:main Re-registering default for build.cmd
7189:debug:main Re-registering default for build.target
7190:debug:main Re-registering default for destroot.cmd
7191:debug:main Re-registering default for destroot.destdir
7192:debug:main Re-registering default for destroot.target
7193:debug:main Re-registering default for test.cmd
7194:debug:main Re-registering default for test.target
7195:debug:main Sourcing PortGroup python 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/python-1.0.tcl
7196:debug:main only one arch supported, so not adding the default universal variant
7197:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7198:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7199:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7200:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7201:debug:main Running callback portstartupitem::add_notes
7202:debug:main Finished running callback portstartupitem::add_notes
7203:debug:main Running callback python_add_dependencies
7204:debug:main Finished running callback python_add_dependencies
7205:debug:main No need to upgrade! py312-mako 1.3.5_0 >= py312-mako 1.3.5_0
7206:debug:main epoch: in tree: 0 installed: 0
7207:debug:main py312-markupsafe 2.1.5_0 exists in the ports tree
7208:debug:main py312-markupsafe 2.1.5_0  is the latest installed
7209:debug:main py312-markupsafe 2.1.5_0  is active
7210:debug:main Merging existing requested variants '' into variants
7211:debug:main new fully merged portvariants:
7212:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/python/py-markupsafe
7213:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7214:debug:main Re-registering default for distname
7215:debug:main Re-registering default for build.cmd
7216:debug:main Re-registering default for build.target
7217:debug:main Re-registering default for destroot.cmd
7218:debug:main Re-registering default for destroot.destdir
7219:debug:main Re-registering default for destroot.target
7220:debug:main Re-registering default for test.cmd
7221:debug:main Re-registering default for test.target
7222:debug:main Sourcing PortGroup python 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/python-1.0.tcl
7223:debug:main adding the default universal variant
7224:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7225:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7226:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7227:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7228:debug:main Running callback portstartupitem::add_notes
7229:debug:main Finished running callback portstartupitem::add_notes
7230:debug:main Running callback python_add_dependencies
7231:debug:main Finished running callback python_add_dependencies
7232:debug:main No need to upgrade! py312-markupsafe 2.1.5_0 >= py312-markupsafe 2.1.5_0
7233:debug:main epoch: in tree: 0 installed: 0
7234:debug:main py312-markdown 3.6_0 exists in the ports tree
7235:debug:main py312-markdown 3.6_0  is the latest installed
7236:debug:main py312-markdown 3.6_0  is active
7237:debug:main Merging existing requested variants '' into variants
7238:debug:main new fully merged portvariants:
7239:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/python/py-markdown
7240:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7241:debug:main Re-registering default for distname
7242:debug:main Re-registering default for build.cmd
7243:debug:main Re-registering default for build.target
7244:debug:main Re-registering default for destroot.cmd
7245:debug:main Re-registering default for destroot.destdir
7246:debug:main Re-registering default for destroot.target
7247:debug:main Re-registering default for test.cmd
7248:debug:main Re-registering default for test.target
7249:debug:main Sourcing PortGroup python 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/python-1.0.tcl
7250:debug:main only one arch supported, so not adding the default universal variant
7251:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7252:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7253:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7254:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7255:debug:main Running callback portstartupitem::add_notes
7256:debug:main Finished running callback portstartupitem::add_notes
7257:debug:main Running callback python_add_dependencies
7258:debug:main Finished running callback python_add_dependencies
7259:debug:main No need to upgrade! py312-markdown 3.6_0 >= py312-markdown 3.6_0
7260:debug:main epoch: in tree: 0 installed: 0
7261:debug:main py312-setuptools 74.1.2_0 exists in the ports tree
7262:debug:main py312-setuptools 74.1.2_0  is the latest installed
7263:debug:main py312-setuptools 74.1.2_0  is active
7264:debug:main Merging existing requested variants '' into variants
7265:debug:main new fully merged portvariants:
7266:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/python/py-setuptools
7267:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7268:debug:main Re-registering default for distname
7269:debug:main Re-registering default for build.cmd
7270:debug:main Re-registering default for build.target
7271:debug:main Re-registering default for destroot.cmd
7272:debug:main Re-registering default for destroot.destdir
7273:debug:main Re-registering default for destroot.target
7274:debug:main Re-registering default for test.cmd
7275:debug:main Re-registering default for test.target
7276:debug:main Sourcing PortGroup python 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/python-1.0.tcl
7277:debug:main only one arch supported, so not adding the default universal variant
7278:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7279:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7280:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7281:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7282:debug:main Running callback portstartupitem::add_notes
7283:debug:main Finished running callback portstartupitem::add_notes
7284:debug:main Running callback python_add_dependencies
7285:debug:main Finished running callback python_add_dependencies
7286:debug:main No need to upgrade! py312-setuptools 74.1.2_0 >= py312-setuptools 74.1.2_0
7287:debug:main epoch: in tree: 0 installed: 0
7288:debug:main shared-mime-info 2.4_0 exists in the ports tree
7289:debug:main shared-mime-info 2.4_0  is the latest installed
7290:debug:main shared-mime-info 2.4_0  is active
7291:debug:main Merging existing requested variants '' into variants
7292:debug:main new fully merged portvariants:
7293:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/shared-mime-info
7294:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7295:debug:main Sourcing PortGroup gitlab 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/gitlab-1.0.tcl
7296:debug:main Re-registering default for configure.cmd
7297:debug:main Re-registering default for configure.pre_args
7298:debug:main Re-registering default for build.dir
7299:debug:main Re-registering default for build.cmd
7300:debug:main Re-registering default for build.target
7301:debug:main Re-registering default for destroot.cmd
7302:debug:main Re-registering default for destroot.post_args
7303:debug:main Sourcing PortGroup meson 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/meson-1.0.tcl
7304:debug:main Sourcing PortGroup legacysupport 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/legacysupport-1.1.tcl
7305:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
7306:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
7307:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
7308:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
7309:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
7310:debug:main Re-registering default for extract.rename
7311:debug:main Re-registering default for livecheck.regex
7312:debug:main adding the default universal variant
7313:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7314:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7315:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7316:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7317:debug:main Running callback portstartupitem::add_notes
7318:debug:main Finished running callback portstartupitem::add_notes
7319:debug:main Running callback meson::add_depends
7320:debug:main Finished running callback meson::add_depends
7321:debug:main Running callback legacysupport::add_legacysupport
7322:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
7323:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
7324:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
7325:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
7326:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
7327:debug:main Finished running callback legacysupport::add_legacysupport
7328:debug:main No need to upgrade! shared-mime-info 2.4_0 >= shared-mime-info 2.4_0
7329:debug:main epoch: in tree: 0 installed: 0
7330:debug:main bash 5.2.32_0 exists in the ports tree
7331:debug:main bash 5.2.32_0  is the latest installed
7332:debug:main bash 5.2.32_0  is active
7333:debug:main Merging existing requested variants '' into variants
7334:debug:main new fully merged portvariants:
7335:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/shells/bash
7336:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7337:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
7338:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 400}
7339:debug:main universal variant already exists, so not adding the default one
7340:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7341:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7342:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7343:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7344:debug:main Running callback portstartupitem::add_notes
7345:debug:main Finished running callback portstartupitem::add_notes
7346:debug:main No need to upgrade! bash 5.2.32_0 >= bash 5.2.32_0
7347:debug:main epoch: in tree: 0 installed: 0
7348:debug:main coreutils 9.5_0 exists in the ports tree
7349:debug:main coreutils 9.5_0  is the latest installed
7350:debug:main coreutils 9.5_0  is active
7351:debug:main Merging existing requested variants '' into variants
7352:debug:main new fully merged portvariants:
7353:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/sysutils/coreutils
7354:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7355:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
7356:debug:main Sourcing PortGroup gnulib 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/gnulib-1.0.tcl
7357:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 900}
7358:debug:main adding the default universal variant
7359:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7360:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7361:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7362:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7363:debug:main Running callback portstartupitem::add_notes
7364:debug:main Finished running callback portstartupitem::add_notes
7365:debug:main Running callback gnulib::setup
7366:debug:main Finished running callback gnulib::setup
7367:debug:main No need to upgrade! coreutils 9.5_0 >= coreutils 9.5_0
7368:debug:main epoch: in tree: 0 installed: 0
7369:debug:main gmp 6.3.0_0 exists in the ports tree
7370:debug:main gmp 6.3.0_0  is the latest installed
7371:debug:main gmp 6.3.0_0  is active
7372:debug:main Merging existing requested variants '' into variants
7373:debug:main new fully merged portvariants:
7374:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/gmp
7375:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7376:debug:main Re-registering default for configure.universal_args
7377:debug:main Re-registering default for configure.cc_archflags
7378:debug:main Re-registering default for configure.objc_archflags
7379:debug:main Re-registering default for configure.cxx_archflags
7380:debug:main Re-registering default for configure.objcxx_archflags
7381:debug:main Re-registering default for configure.fc_archflags
7382:debug:main Re-registering default for configure.f90_archflags
7383:debug:main Re-registering default for configure.f77_archflags
7384:debug:main Re-registering default for configure.ld_archflags
7385:debug:main Re-registering default for configure.universal_cflags
7386:debug:main Re-registering default for configure.universal_objcflags
7387:debug:main Re-registering default for configure.universal_cxxflags
7388:debug:main Re-registering default for configure.universal_objcxxflags
7389:debug:main Re-registering default for configure.universal_cppflags
7390:debug:main Re-registering default for configure.universal_ldflags
7391:debug:main Sourcing PortGroup muniversal 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.1.tcl
7392:debug:main Sourcing PortGroup xcode_workaround 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/xcode_workaround-1.0.tcl
7393:debug:main muniversal: adding universal variant
7394:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7395:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7396:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7397:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7398:debug:main Running callback portstartupitem::add_notes
7399:debug:main Finished running callback portstartupitem::add_notes
7400:debug:main Running callback muniversal::add_compiler_flags
7401:debug:main Finished running callback muniversal::add_compiler_flags
7402:debug:main Running callback xcode_workaround::xcode_workaround.appy_fix
7403:debug:main Finished running callback xcode_workaround::xcode_workaround.appy_fix
7404:debug:main No need to upgrade! gmp 6.3.0_0 >= gmp 6.3.0_0
7405:debug:main epoch: in tree: 0 installed: 0
7406:debug:main libde265 1.0.15_0 exists in the ports tree
7407:debug:main libde265 1.0.15_0  is the latest installed
7408:debug:main libde265 1.0.15_0  is active
7409:debug:main Merging existing requested variants '' into variants
7410:debug:main new fully merged portvariants:
7411:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/multimedia/libde265
7412:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7413:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
7414:debug:main Sourcing PortGroup legacysupport 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/legacysupport-1.1.tcl
7415:debug:main Re-registering default for extract.rename
7416:debug:main Re-registering default for livecheck.url
7417:debug:main Re-registering default for livecheck.regex
7418:debug:main Re-registering default for extract.rename
7419:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
7420:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
7421:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
7422:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
7423:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
7424:debug:main adding the default universal variant
7425:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7426:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7427:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7428:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7429:debug:main Running callback portstartupitem::add_notes
7430:debug:main Finished running callback portstartupitem::add_notes
7431:debug:main Running callback legacysupport::add_legacysupport
7432:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
7433:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
7434:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
7435:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
7436:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
7437:debug:main Finished running callback legacysupport::add_legacysupport
7438:debug:main No need to upgrade! libde265 1.0.15_0 >= libde265 1.0.15_0
7439:debug:main epoch: in tree: 0 installed: 0
7440:debug:main svt-av1 2.2.1_0 exists in the ports tree
7441:debug:main svt-av1 2.2.1_0  is the latest installed
7442:debug:main svt-av1 2.2.1_0  is active
7443:debug:main Merging existing requested variants '' into variants
7444:debug:main new fully merged portvariants:
7445:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/multimedia/svt-av1
7446:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7447:debug:main Sourcing PortGroup gitlab 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/gitlab-1.0.tcl
7448:debug:main Re-registering default for destroot.target
7449:debug:main Re-registering default for configure.dir
7450:debug:main Re-registering default for build.dir
7451:debug:main Re-registering default for configure.pre_args
7452:debug:main Sourcing PortGroup cmake 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/cmake-1.1.tcl
7453:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
7454:debug:main Sourcing PortGroup legacysupport 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/legacysupport-1.1.tcl
7455:debug:main Re-registering default for extract.rename
7456:debug:main Re-registering default for livecheck.regex
7457:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 500}
7458:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
7459:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
7460:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
7461:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
7462:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
7463:debug:main adding the default universal variant
7464:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7465:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7466:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7467:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7468:debug:main Running callback portstartupitem::add_notes
7469:debug:main Finished running callback portstartupitem::add_notes
7470:debug:main Running callback legacysupport::add_legacysupport
7471:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to extract.env
7472:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to configure.env
7473:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to build.env
7474:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to destroot.env
7475:debug:main legacysupport: Will append MACPORTS_LEGACY_SUPPORT_DISABLED=1 to test.env
7476:debug:main Finished running callback legacysupport::add_legacysupport
7477:debug:main No need to upgrade! svt-av1 2.2.1_0 >= svt-av1 2.2.1_0
7478:debug:main epoch: in tree: 0 installed: 0
7479:debug:main kvazaar 2.3.1_0 exists in the ports tree
7480:debug:main kvazaar 2.3.1_0  is the latest installed
7481:debug:main kvazaar 2.3.1_0  is active
7482:debug:main Merging existing requested variants '' into variants
7483:debug:main new fully merged portvariants:
7484:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/multimedia/kvazaar
7485:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7486:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
7487:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
7488:debug:main Re-registering default for extract.rename
7489:debug:main Re-registering default for livecheck.url
7490:debug:main Re-registering default for livecheck.regex
7491:debug:main Re-registering default for extract.rename
7492:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 700}
7493:debug:main adding the default universal variant
7494:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7495:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7496:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7497:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7498:debug:main Running callback portstartupitem::add_notes
7499:debug:main Finished running callback portstartupitem::add_notes
7500:debug:main No need to upgrade! kvazaar 2.3.1_0 >= kvazaar 2.3.1_0
7501:debug:main epoch: in tree: 0 installed: 0
7502:debug:main xpm 3.5.17_0 exists in the ports tree
7503:debug:main xpm 3.5.17_0  is the latest installed
7504:debug:main xpm 3.5.17_0  is active
7505:debug:main Merging existing requested variants '' into variants
7506:debug:main new fully merged portvariants:
7507:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xpm
7508:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7509:debug:main adding the default universal variant
7510:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7511:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7512:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7513:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7514:debug:main Running callback portstartupitem::add_notes
7515:debug:main Finished running callback portstartupitem::add_notes
7516:debug:main No need to upgrade! xpm 3.5.17_0 >= xpm 3.5.17_0
7517:debug:main epoch: in tree: 0 installed: 0
7518:debug:main xorg-libXt 1.3.0_1 exists in the ports tree
7519:debug:main xorg-libXt 1.3.0_1  is the latest installed
7520:debug:main xorg-libXt 1.3.0_1  is active
7521:debug:main Merging existing requested variants '' into variants
7522:debug:main new fully merged portvariants:
7523:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-libXt
7524:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7525:debug:main adding the default universal variant
7526:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7527:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7528:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7529:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7530:debug:main Running callback portstartupitem::add_notes
7531:debug:main Finished running callback portstartupitem::add_notes
7532:debug:main No need to upgrade! xorg-libXt 1.3.0_1 >= xorg-libXt 1.3.0_1
7533:debug:main epoch: in tree: 0 installed: 0
7534:debug:main xorg-libsm 1.2.4_0 exists in the ports tree
7535:debug:main xorg-libsm 1.2.4_0  is the latest installed
7536:debug:main xorg-libsm 1.2.4_0  is active
7537:debug:main Merging existing requested variants '' into variants
7538:debug:main new fully merged portvariants:
7539:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-libsm
7540:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7541:debug:main adding the default universal variant
7542:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7543:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7544:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7545:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7546:debug:main Running callback portstartupitem::add_notes
7547:debug:main Finished running callback portstartupitem::add_notes
7548:debug:main No need to upgrade! xorg-libsm 1.2.4_0 >= xorg-libsm 1.2.4_0
7549:debug:main epoch: in tree: 0 installed: 0
7550:debug:main xorg-libice 1.1.1_0 exists in the ports tree
7551:debug:main xorg-libice 1.1.1_0  is the latest installed
7552:debug:main xorg-libice 1.1.1_0  is active
7553:debug:main Merging existing requested variants '' into variants
7554:debug:main new fully merged portvariants:
7555:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-libice
7556:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7557:debug:main adding the default universal variant
7558:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7559:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7560:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7561:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7562:debug:main Running callback portstartupitem::add_notes
7563:debug:main Finished running callback portstartupitem::add_notes
7564:debug:main No need to upgrade! xorg-libice 1.1.1_0 >= xorg-libice 1.1.1_0
7565:debug:main epoch: in tree: 0 installed: 0
7566:debug:main gts 0.7.6-20121130_1 exists in the ports tree
7567:debug:main gts 0.7.6-20121130_1  is the latest installed
7568:debug:main gts 0.7.6-20121130_1  is active
7569:debug:main Merging existing requested variants '' into variants
7570:debug:main new fully merged portvariants:
7571:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/math/gts
7572:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7573:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
7574:debug:main muniversal: adding universal variant
7575:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7576:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7577:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7578:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7579:debug:main Running callback portstartupitem::add_notes
7580:debug:main Finished running callback portstartupitem::add_notes
7581:debug:main No need to upgrade! gts 0.7.6-20121130_1 >= gts 0.7.6-20121130_1
7582:debug:main epoch: in tree: 0 installed: 0
7583:debug:main libnetpbm 11.07.00_0 exists in the ports tree
7584:debug:main libnetpbm 11.07.00_0  is the latest installed
7585:debug:main libnetpbm 11.07.00_0  is active
7586:debug:main Merging existing requested variants '' into variants
7587:debug:main new fully merged portvariants:
7588:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/netpbm
7589:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7590:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
7591:debug:main Re-registering default for extract.rename
7592:debug:main Re-registering default for livecheck.url
7593:debug:main Re-registering default for livecheck.regex
7594:debug:main Re-registering default for extract.rename
7595:debug:main universal variant already exists, so not adding the default one
7596:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7597:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7598:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7599:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7600:debug:main Running callback portstartupitem::add_notes
7601:debug:main Finished running callback portstartupitem::add_notes
7602:debug:main No need to upgrade! libnetpbm 11.07.00_0 >= libnetpbm 11.07.00_0
7603:debug:main epoch: in tree: 1 installed: 1
7604:debug:main pango 1.52.2_0 exists in the ports tree
7605:debug:main pango 1.52.2_0 +quartz+x11 is the latest installed
7606:debug:main pango 1.52.2_0 +quartz+x11 is active
7607:debug:main Merging existing requested variants '' into variants
7608:debug:main new fully merged portvariants:
7609:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/pango
7610:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7611:debug:main Sourcing PortGroup active_variants 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/active_variants-1.1.tcl
7612:debug:main Re-registering default for configure.cmd
7613:debug:main Re-registering default for configure.pre_args
7614:debug:main Re-registering default for build.dir
7615:debug:main Re-registering default for build.cmd
7616:debug:main Re-registering default for build.target
7617:debug:main Re-registering default for destroot.cmd
7618:debug:main Re-registering default for destroot.post_args
7619:debug:main Sourcing PortGroup meson 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/meson-1.0.tcl
7620:debug:main adding the default universal variant
7621:debug:main Executing variant quartz provides quartz
7622:debug:main Executing variant x11 provides x11
7623:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7624:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7625:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7626:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7627:debug:main Running callback portstartupitem::add_notes
7628:debug:main Finished running callback portstartupitem::add_notes
7629:debug:main Running callback meson::add_depends
7630:debug:main Finished running callback meson::add_depends
7631:debug:main No need to upgrade! pango 1.52.2_0 >= pango 1.52.2_0
7632:debug:main epoch: in tree: 0 installed: 0
7633:debug:main fribidi 1.0.15_0 exists in the ports tree
7634:debug:main fribidi 1.0.15_0  is the latest installed
7635:debug:main fribidi 1.0.15_0  is active
7636:debug:main Merging existing requested variants '' into variants
7637:debug:main new fully merged portvariants:
7638:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/textproc/fribidi
7639:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7640:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
7641:debug:main Re-registering default for extract.rename
7642:debug:main Re-registering default for livecheck.url
7643:debug:main Re-registering default for livecheck.regex
7644:debug:main Re-registering default for extract.rename
7645:debug:main adding the default universal variant
7646:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7647:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7648:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7649:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7650:debug:main Running callback portstartupitem::add_notes
7651:debug:main Finished running callback portstartupitem::add_notes
7652:debug:main No need to upgrade! fribidi 1.0.15_0 >= fribidi 1.0.15_0
7653:debug:main epoch: in tree: 0 installed: 0
7654:debug:main harfbuzz 8.5.0_0 exists in the ports tree
7655:debug:main harfbuzz 8.5.0_0  is the latest installed
7656:debug:main harfbuzz 8.5.0_0  is active
7657:debug:main Merging existing requested variants '' into variants
7658:debug:main new fully merged portvariants:
7659:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/harfbuzz
7660:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7661:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
7662:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
7663:debug:main Sourcing PortGroup gobject_introspection 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/gobject_introspection-1.0.tcl
7664:debug:main Re-registering default for extract.rename
7665:debug:main Re-registering default for livecheck.url
7666:debug:main Re-registering default for livecheck.regex
7667:debug:main Re-registering default for extract.rename
7668:debug:main compiler clang 1600.0.26.3 not blacklisted because it doesn't match {clang < 700}
7669:debug:main adding the default universal variant
7670:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7671:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7672:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7673:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7674:debug:main Running callback portstartupitem::add_notes
7675:debug:main Finished running callback portstartupitem::add_notes
7676:debug:main Running callback gobject_introspection_pg::gobject_introspection_setup
7677:debug:main Finished running callback gobject_introspection_pg::gobject_introspection_setup
7678:debug:main No need to upgrade! harfbuzz 8.5.0_0 >= harfbuzz 8.5.0_0
7679:debug:main epoch: in tree: 0 installed: 0
7680:debug:main graphite2 1.3.14_0 exists in the ports tree
7681:debug:main graphite2 1.3.14_0  is the latest installed
7682:debug:main graphite2 1.3.14_0  is active
7683:debug:main Merging existing requested variants '' into variants
7684:debug:main new fully merged portvariants:
7685:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/graphics/graphite2
7686:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7687:debug:main Re-registering default for destroot.target
7688:debug:main Re-registering default for configure.dir
7689:debug:main Re-registering default for build.dir
7690:debug:main Re-registering default for configure.pre_args
7691:debug:main Sourcing PortGroup cmake 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/cmake-1.1.tcl
7692:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
7693:debug:main Re-registering default for extract.rename
7694:debug:main Re-registering default for livecheck.url
7695:debug:main Re-registering default for livecheck.regex
7696:debug:main Re-registering default for extract.rename
7697:debug:main adding the default universal variant
7698:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7699:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7700:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7701:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7702:debug:main Running callback portstartupitem::add_notes
7703:debug:main Finished running callback portstartupitem::add_notes
7704:debug:main No need to upgrade! graphite2 1.3.14_0 >= graphite2 1.3.14_0
7705:debug:main epoch: in tree: 0 installed: 0
7706:debug:main Xft2 2.3.8_0 exists in the ports tree
7707:debug:main Xft2 2.3.8_0  is the latest installed
7708:debug:main Xft2 2.3.8_0  is active
7709:debug:main Merging existing requested variants '' into variants
7710:debug:main new fully merged portvariants:
7711:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/Xft2
7712:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7713:debug:main adding the default universal variant
7714:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7715:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7716:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7717:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7718:debug:main Running callback portstartupitem::add_notes
7719:debug:main Finished running callback portstartupitem::add_notes
7720:debug:main No need to upgrade! Xft2 2.3.8_0 >= Xft2 2.3.8_0
7721:debug:main epoch: in tree: 0 installed: 0
7722:debug:main libLASi 1.1.3_1 exists in the ports tree
7723:debug:main libLASi 1.1.3_1  is the latest installed
7724:debug:main libLASi 1.1.3_1  is active
7725:debug:main Merging existing requested variants '' into variants
7726:debug:main new fully merged portvariants:
7727:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/print/libLASi
7728:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7729:debug:main Re-registering default for destroot.target
7730:debug:main Re-registering default for configure.dir
7731:debug:main Re-registering default for build.dir
7732:debug:main Re-registering default for configure.pre_args
7733:debug:main Sourcing PortGroup cmake 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/cmake-1.1.tcl
7734:debug:main adding the default universal variant
7735:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7736:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7737:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7738:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7739:debug:main Running callback portstartupitem::add_notes
7740:debug:main Finished running callback portstartupitem::add_notes
7741:debug:main No need to upgrade! libLASi 1.1.3_1 >= libLASi 1.1.3_1
7742:debug:main epoch: in tree: 0 installed: 0
7743:debug:main xorg-libXaw 1.0.16_1 exists in the ports tree
7744:debug:main xorg-libXaw 1.0.16_1  is the latest installed
7745:debug:main xorg-libXaw 1.0.16_1  is active
7746:debug:main Merging existing requested variants '' into variants
7747:debug:main new fully merged portvariants:
7748:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-libXaw
7749:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7750:debug:main adding the default universal variant
7751:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7752:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7753:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7754:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7755:debug:main Running callback portstartupitem::add_notes
7756:debug:main Finished running callback portstartupitem::add_notes
7757:debug:main No need to upgrade! xorg-libXaw 1.0.16_1 >= xorg-libXaw 1.0.16_1
7758:debug:main epoch: in tree: 0 installed: 0
7759:debug:main xorg-libXmu 1.2.1_0 exists in the ports tree
7760:debug:main xorg-libXmu 1.2.1_0  is the latest installed
7761:debug:main xorg-libXmu 1.2.1_0  is active
7762:debug:main Merging existing requested variants '' into variants
7763:debug:main new fully merged portvariants:
7764:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/xorg-libXmu
7765:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7766:debug:main adding the default universal variant
7767:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7768:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7769:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7770:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7771:debug:main Running callback portstartupitem::add_notes
7772:debug:main Finished running callback portstartupitem::add_notes
7773:debug:main No need to upgrade! xorg-libXmu 1.2.1_0 >= xorg-libXmu 1.2.1_0
7774:debug:main epoch: in tree: 0 installed: 0
7775:debug:main urw-fonts 1.0.7pre44_0 exists in the ports tree
7776:debug:main urw-fonts 1.0.7pre44_0  is the latest installed
7777:debug:main urw-fonts 1.0.7pre44_0  is active
7778:debug:main Merging existing requested variants '' into variants
7779:debug:main new fully merged portvariants:
7780:debug:main Opening port in directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/x11/urw-fonts
7781:debug:main OS darwin/23.6.0 (macOS 14.7) arch arm
7782:debug:main universal_variant is false, so not adding the default universal variant
7783:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
7784:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
7785:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
7786:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
7787:debug:main Running callback portstartupitem::add_notes
7788:debug:main Finished running callback portstartupitem::add_notes
7789:debug:main No need to upgrade! urw-fonts 1.0.7pre44_0 >= urw-fonts 1.0.7pre44_0
7790:msg:main --->  Computing dependencies for graphviz:info:main .:debug:main Checking for conflicts against graphviz
7791:debug:main Searching for dependency: pkgconfig
7792:debug:main Found Dependency: receipt exists for pkgconfig
7793:debug:main Searching for dependency: gettext
7794:debug:main Found Dependency: receipt exists for gettext
7795:debug:main Searching for dependency: autoconf-archive
7796:debug:main Found Dependency: receipt exists for autoconf-archive
7797:debug:main Searching for dependency: gsed
7798:debug:main Found Dependency: receipt exists for gsed
7799:debug:main Searching for dependency: autoconf
7800:debug:main Found Dependency: receipt exists for autoconf
7801:debug:main Searching for dependency: automake
7802:debug:main Found Dependency: receipt exists for automake
7803:debug:main Searching for dependency: libtool
7804:debug:main Found Dependency: receipt exists for libtool
7805:debug:main Searching for dependency: libjpeg-turbo
7806:debug:main Found Dependency: receipt exists for libjpeg-turbo
7807:debug:main Searching for dependency: libpng
7808:debug:main Found Dependency: receipt exists for libpng
7809:debug:main Searching for dependency: webp
7810:debug:main Found Dependency: receipt exists for webp
7811:debug:main Searching for dependency: fontconfig
7812:debug:main Found Dependency: receipt exists for fontconfig
7813:debug:main Searching for dependency: freetype
7814:debug:main Found Dependency: receipt exists for freetype
7815:debug:main Searching for dependency: expat
7816:debug:main Found Dependency: receipt exists for expat
7817:debug:main Searching for dependency: gd2
7818:debug:main Found Dependency: receipt exists for gd2
7819:debug:main Searching for dependency: gts
7820:debug:main Found Dependency: receipt exists for gts
7821:debug:main Searching for dependency: zlib
7822:debug:main Found Dependency: receipt exists for zlib
7823:debug:main Searching for dependency: gettext-runtime
7824:debug:main Found Dependency: receipt exists for gettext-runtime
7825:debug:main Searching for dependency: pango
7826:debug:main Found Dependency: receipt exists for pango
7827:debug:main Searching for dependency: libLASi
7828:debug:main Found Dependency: receipt exists for libLASi
7829:debug:main Searching for dependency: xorg-libXaw
7830:debug:main Found Dependency: receipt exists for xorg-libXaw
7831:debug:main Searching for dependency: urw-fonts
7832:debug:main Found Dependency: receipt exists for urw-fonts
7833:debug:main Executing org.macports.main (graphviz)
7834:debug:main dropping privileges: euid changed to 502, egid changed to 501.
7835:debug:main Privilege de-escalation not attempted as not running as root.
7836:debug:archivefetch archivefetch phase started at Wed Sep 18 17:56:31 EDT 2024
7837:msg:archivefetch --->  Fetching archive for graphviz
7838:debug:archivefetch Executing org.macports.archivefetch (graphviz)
7839:debug:archivefetch euid/egid changed to: 0/0
7840:debug:archivefetch chowned /opt/local/var/macports/incoming to macports
7841:debug:archivefetch euid/egid changed to: 502/501
7842:info:archivefetch --->  graphviz-9.0.0_1+pangocairo+x11.darwin_23.arm64.tbz2 doesn't seem to exist in /opt/local/var/macports/incoming/verified
7843:msg:archivefetch --->  Attempting to fetch graphviz-9.0.0_1+pangocairo+x11.darwin_23.arm64.tbz2 from https://packages.macports.org/graphviz
7844:debug:archivefetch Fetching archive failed: The requested URL returned error: 404
7845:msg:archivefetch --->  Attempting to fetch graphviz-9.0.0_1+pangocairo+x11.darwin_23.arm64.tbz2 from http://bos.us.packages.macports.org/graphviz
7846:debug:archivefetch Fetching archive failed: The requested URL returned error: 404
7847:msg:archivefetch --->  Attempting to fetch graphviz-9.0.0_1+pangocairo+x11.darwin_23.arm64.tbz2 from https://mirrors.mit.edu/macports/packages/graphviz
7848:debug:archivefetch Fetching archive failed: The requested URL returned error: 404
7849:debug:archivefetch Privilege de-escalation not attempted as not running as root.
7850:debug:archivefetch Skipping completed org.macports.fetch (graphviz)
7851:debug:archivefetch Privilege de-escalation not attempted as not running as root.
7852:debug:archivefetch Skipping completed org.macports.checksum (graphviz)
7853:debug:archivefetch Privilege de-escalation not attempted as not running as root.
7854:debug:archivefetch Skipping completed org.macports.extract (graphviz)
7855:debug:archivefetch Privilege de-escalation not attempted as not running as root.
7856:debug:archivefetch Skipping completed org.macports.patch (graphviz)
7857:debug:archivefetch Privilege de-escalation not attempted as not running as root.
7858:debug:archivefetch Skipping completed org.macports.configure (graphviz)
7859:debug:archivefetch Privilege de-escalation not attempted as not running as root.
7860:debug:build build phase started at Wed Sep 18 17:56:31 EDT 2024
7861:notice:build --->  Building graphviz
7862:debug:build Executing org.macports.build (graphviz)
7863:debug:build Environment:
7864:debug:build CC_PRINT_OPTIONS='YES'
7865:debug:build CC_PRINT_OPTIONS_FILE='/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/.CC_PRINT_OPTIONS'
7866:debug:build CPATH='/opt/local/include'
7867:debug:build DEVELOPER_DIR='/Library/Developer/CommandLineTools'
7868:debug:build LIBRARY_PATH='/opt/local/lib'
7869:debug:build MACOSX_DEPLOYMENT_TARGET='14.0'
7870:debug:build MACPORTS_LEGACY_SUPPORT_DISABLED='1'
7871:debug:build SDKROOT='/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk'
7872:debug:build SOURCE_DATE_EPOCH='1725307779'
7873:info:build Executing:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0" && /usr/bin/make -j12 -w all
7874:debug:build system:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0" && /usr/bin/make -j12 -w all
7875:info:build make: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0'
7876:info:build /Library/Developer/CommandLineTools/usr/bin/make  all-recursive
7877:info:build make[1]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0'
7878:info:build Making all in libltdl
7879:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/libltdl'
7880:info:build /Library/Developer/CommandLineTools/usr/bin/make  all-am
7881:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/libltdl'
7882:info:build make[3]: Nothing to be done for `all-am'.
7883:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/libltdl'
7884:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/libltdl'
7885:info:build Making all in lib
7886:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib'
7887:info:build Making all in cdt
7888:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/cdt'
7889:info:build make[3]: Nothing to be done for `all'.
7890:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/cdt'
7891:info:build Making all in xdot
7892:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/xdot'
7893:info:build make[3]: Nothing to be done for `all'.
7894:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/xdot'
7895:info:build Making all in cgraph
7896:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/cgraph'
7897:info:build make[3]: Nothing to be done for `all'.
7898:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/cgraph'
7899:info:build Making all in pathplan
7900:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/pathplan'
7901:info:build make[3]: Nothing to be done for `all'.
7902:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/pathplan'
7903:info:build Making all in sfio
7904:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/sfio'
7905:info:build Making all in Sfio_f
7906:info:build make[4]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/sfio/Sfio_f'
7907:info:build make[4]: Nothing to be done for `all'.
7908:info:build make[4]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/sfio/Sfio_f'
7909:info:build make[4]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/sfio'
7910:info:build make[4]: Nothing to be done for `all-am'.
7911:info:build make[4]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/sfio'
7912:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/sfio'
7913:info:build Making all in vmalloc
7914:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/vmalloc'
7915:info:build make[3]: Nothing to be done for `all'.
7916:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/vmalloc'
7917:info:build Making all in ast
7918:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/ast'
7919:info:build make[3]: Nothing to be done for `all'.
7920:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/ast'
7921:info:build Making all in vpsc
7922:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/vpsc'
7923:info:build depbase=`echo block.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
7924:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT block.lo -MD -MP -MF $depbase.Tpo -c -o block.lo block.cpp &&\
7925:info:build     mv -f $depbase.Tpo $depbase.Plo
7926:info:build depbase=`echo blocks.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
7927:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT blocks.lo -MD -MP -MF $depbase.Tpo -c -o blocks.lo blocks.cpp &&\
7928:info:build     mv -f $depbase.Tpo $depbase.Plo
7929:info:build depbase=`echo constraint.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
7930:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT constraint.lo -MD -MP -MF $depbase.Tpo -c -o constraint.lo constraint.cpp &&\
7931:info:build     mv -f $depbase.Tpo $depbase.Plo
7932:info:build depbase=`echo generate-constraints.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
7933:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT generate-constraints.lo -MD -MP -MF $depbase.Tpo -c -o generate-constraints.lo generate-constraints.cpp &&\
7934:info:build     mv -f $depbase.Tpo $depbase.Plo
7935:info:build depbase=`echo pairingheap/PairingHeap.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
7936:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT pairingheap/PairingHeap.lo -MD -MP -MF $depbase.Tpo -c -o pairingheap/PairingHeap.lo pairingheap/PairingHeap.cpp &&\
7937:info:build     mv -f $depbase.Tpo $depbase.Plo
7938:info:build depbase=`echo solve_VPSC.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
7939:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT solve_VPSC.lo -MD -MP -MF $depbase.Tpo -c -o solve_VPSC.lo solve_VPSC.cpp &&\
7940:info:build     mv -f $depbase.Tpo $depbase.Plo
7941:info:build depbase=`echo csolve_VPSC.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
7942:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT csolve_VPSC.lo -MD -MP -MF $depbase.Tpo -c -o csolve_VPSC.lo csolve_VPSC.cpp &&\
7943:info:build     mv -f $depbase.Tpo $depbase.Plo
7944:info:build depbase=`echo variable.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
7945:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT variable.lo -MD -MP -MF $depbase.Tpo -c -o variable.lo variable.cpp &&\
7946:info:build     mv -f $depbase.Tpo $depbase.Plo
7947:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT block.lo -MD -MP -MF .deps/block.Tpo -c block.cpp  -fno-common -DPIC -o .libs/block.o
7948:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT solve_VPSC.lo -MD -MP -MF .deps/solve_VPSC.Tpo -c solve_VPSC.cpp  -fno-common -DPIC -o .libs/solve_VPSC.o
7949:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT csolve_VPSC.lo -MD -MP -MF .deps/csolve_VPSC.Tpo -c csolve_VPSC.cpp  -fno-common -DPIC -o .libs/csolve_VPSC.o
7950:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT generate-constraints.lo -MD -MP -MF .deps/generate-constraints.Tpo -c generate-constraints.cpp  -fno-common -DPIC -o .libs/generate-constraints.o
7951:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT variable.lo -MD -MP -MF .deps/variable.Tpo -c variable.cpp  -fno-common -DPIC -o .libs/variable.o
7952:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT pairingheap/PairingHeap.lo -MD -MP -MF pairingheap/.deps/PairingHeap.Tpo -c pairingheap/PairingHeap.cpp  -fno-common -DPIC -o pairingheap/.libs/PairingHeap.o
7953:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT constraint.lo -MD -MP -MF .deps/constraint.Tpo -c constraint.cpp  -fno-common -DPIC -o .libs/constraint.o
7954:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT blocks.lo -MD -MP -MF .deps/blocks.Tpo -c blocks.cpp  -fno-common -DPIC -o .libs/blocks.o
7955:info:build pairingheap/PairingHeap.cppgenerate-constraints.cpp::2519::10csolve_VPSC.cpp:: 19fatal error: :'vector' file not found10
7956:info:build :solve_VPSC.cpp :fatal error: 20'iostream' file not found:
7957:info:build 1010: fatal error: 'algorithm' file not found
7958:info:build :In file included from  In file included from fatal error: In file included from 'cassert' file not foundblocks.cppconstraint.cppvariable.cpp::2216:
7959:info:build :
7960:info:build ../../lib/vpsc/blocks.h../../lib/vpsc/variable.h::2618::1010::  fatal error: fatal error: 'set' file not found'vector' file not found
7961:info:build :
7962:info:build 19:
7963:info:build ../../lib/vpsc/constraint.h:21:10   block.cpp:19:10:    fatal error: 26'cassert' file not found
7964:info:build : fatal error: 'iostream' file not found
7965:info:build    25    | 19# | i#nicn   c20    | 21# | i#ni    |    #19i | 18lundcel u<dcea s<sieorstt>r
7966:info:build enacml>u
7967:info:build             | |          ^~~~~~~~~         ^~~~~~~~~~19
7968:info:build  |
7969:info:build l#u | d#ei n<csleutd>e
7970:info:build        <#vedcet oirc>l
7971:info:build u      d| e         ^~~~~~~~
7972:info:build n<cvleucdt<caseso r<>a
7973:info:build l      i| n         ^~~~~~~~c
7974:info:build leu| dr         ^~~~~t
7975:info:build >
7976:info:build       e|           ^~~~~~~~~<
7977:info:build igorithmostrea>m
7978:info:build >     
7979:info:build |                ^~~~~~~~~~~|
7980:info:build          ^~~~~~~~~~
7981:info:build 1 error generated.
7982:info:build 1 error generated.
7983:info:build 1 error generated.
7984:info:build 1 error generated.
7985:info:build 1 error generated.
7986:info:build 1 error generated.
7987:info:build 1 error generated.
7988:info:build make[3]: *** [pairingheap/PairingHeap.lo] Error 1
7989:info:build make[3]: *** Waiting for unfinished jobs....
7990:info:build make[3]: *** [constraint.lo] Error 1
7991:info:build 1 error generated.
7992:info:build make[3]: *** [variable.lo] Error 1
7993:info:build make[3]: *** [generate-constraints.lo] Error 1
7994:info:build make[3]: *** [block.lo] Error 1
7995:info:build make[3]: *** [blocks.lo] Error 1
7996:info:build make[3]: *** [csolve_VPSC.lo] Error 1
7997:info:build make[3]: *** [solve_VPSC.lo] Error 1
7998:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/vpsc'
7999:info:build make[2]: *** [all-recursive] Error 1
8000:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib'
8001:info:build make[1]: *** [all-recursive] Error 1
8002:info:build make[1]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0'
8003:info:build make: *** [all] Error 2
8004:info:build make: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0'
8005:info:build Command failed:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0" && /usr/bin/make -j12 -w all
8006:info:build Exit code: 2
8007:error:build Failed to build graphviz: command execution failed
8008:debug:build Error code: CHILDSTATUS 27233 2
8009:debug:build Backtrace: command execution failed
8010:debug:build     while executing
8011:debug:build "system {*}$notty {*}$callback {*}$nice $fullcmdstring"
8012:debug:build     invoked from within
8013:debug:build "command_exec -callback portprogress::target_progress_callback build"
8014:debug:build     (procedure "portbuild::build_main" line 10)
8015:debug:build     invoked from within
8016:debug:build "$procedure $targetname"
8017:error:build See /opt/local/var/macports/logs/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/main.log for details.
8018version:1
8019:debug:main Starting logging for graphviz @9.0.0_1+pangocairo+x11
8020:debug:sysinfo macOS 14.7 (darwin/23.6.0) arch arm
8021:debug:sysinfo MacPorts 2.10.1
8022:debug:sysinfo Xcode 16.0, CLT 16.0.0.0.1.1724870825
8023:debug:sysinfo SDK 14
8024:debug:sysinfo MACOSX_DEPLOYMENT_TARGET: 14.0
8025:debug:main dropping privileges: euid changed to 502, egid changed to 501.
8026:debug:main Executing org.macports.main (graphviz)
8027:debug:main Privilege de-escalation not attempted as not running as root.
8028:debug:archivefetch archivefetch phase started at Wed Sep 18 17:59:36 EDT 2024
8029:msg:archivefetch --->  Fetching archive for graphviz
8030:debug:archivefetch Executing org.macports.archivefetch (graphviz)
8031:debug:archivefetch euid/egid changed to: 0/0
8032:debug:archivefetch chowned /opt/local/var/macports/incoming to macports
8033:debug:archivefetch euid/egid changed to: 502/501
8034:info:archivefetch --->  graphviz-9.0.0_1+pangocairo+x11.darwin_23.arm64.tbz2 doesn't seem to exist in /opt/local/var/macports/incoming/verified
8035:msg:archivefetch --->  Attempting to fetch graphviz-9.0.0_1+pangocairo+x11.darwin_23.arm64.tbz2 from https://packages.macports.org/graphviz
8036:debug:archivefetch Fetching archive failed: The requested URL returned error: 404
8037:msg:archivefetch --->  Attempting to fetch graphviz-9.0.0_1+pangocairo+x11.darwin_23.arm64.tbz2 from http://bos.us.packages.macports.org/graphviz
8038:debug:archivefetch Fetching archive failed: The requested URL returned error: 404
8039:msg:archivefetch --->  Attempting to fetch graphviz-9.0.0_1+pangocairo+x11.darwin_23.arm64.tbz2 from https://mirrors.mit.edu/macports/packages/graphviz
8040:debug:archivefetch Fetching archive failed: The requested URL returned error: 404
8041:debug:archivefetch Privilege de-escalation not attempted as not running as root.
8042:debug:archivefetch Skipping completed org.macports.fetch (graphviz)
8043:debug:archivefetch Privilege de-escalation not attempted as not running as root.
8044:debug:archivefetch Skipping completed org.macports.checksum (graphviz)
8045:debug:archivefetch Privilege de-escalation not attempted as not running as root.
8046:debug:archivefetch Skipping completed org.macports.extract (graphviz)
8047:debug:archivefetch Privilege de-escalation not attempted as not running as root.
8048:debug:archivefetch Skipping completed org.macports.patch (graphviz)
8049:debug:archivefetch Privilege de-escalation not attempted as not running as root.
8050:debug:archivefetch Skipping completed org.macports.configure (graphviz)
8051:debug:archivefetch Privilege de-escalation not attempted as not running as root.
8052:debug:build build phase started at Wed Sep 18 17:59:37 EDT 2024
8053:notice:build --->  Building graphviz
8054:debug:build Executing org.macports.build (graphviz)
8055:debug:build Environment:
8056:debug:build CC_PRINT_OPTIONS='YES'
8057:debug:build CC_PRINT_OPTIONS_FILE='/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/.CC_PRINT_OPTIONS'
8058:debug:build CPATH='/opt/local/include'
8059:debug:build DEVELOPER_DIR='/Library/Developer/CommandLineTools'
8060:debug:build LIBRARY_PATH='/opt/local/lib'
8061:debug:build MACOSX_DEPLOYMENT_TARGET='14.0'
8062:debug:build MACPORTS_LEGACY_SUPPORT_DISABLED='1'
8063:debug:build SDKROOT='/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk'
8064:debug:build SOURCE_DATE_EPOCH='1725307779'
8065:info:build Executing:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0" && /usr/bin/make -j12 -w all
8066:debug:build system:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0" && /usr/bin/make -j12 -w all
8067:info:build make: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0'
8068:info:build /Library/Developer/CommandLineTools/usr/bin/make  all-recursive
8069:info:build make[1]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0'
8070:info:build Making all in libltdl
8071:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/libltdl'
8072:info:build /Library/Developer/CommandLineTools/usr/bin/make  all-am
8073:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/libltdl'
8074:info:build make[3]: Nothing to be done for `all-am'.
8075:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/libltdl'
8076:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/libltdl'
8077:info:build Making all in lib
8078:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib'
8079:info:build Making all in cdt
8080:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/cdt'
8081:info:build make[3]: Nothing to be done for `all'.
8082:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/cdt'
8083:info:build Making all in xdot
8084:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/xdot'
8085:info:build make[3]: Nothing to be done for `all'.
8086:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/xdot'
8087:info:build Making all in cgraph
8088:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/cgraph'
8089:info:build make[3]: Nothing to be done for `all'.
8090:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/cgraph'
8091:info:build Making all in pathplan
8092:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/pathplan'
8093:info:build make[3]: Nothing to be done for `all'.
8094:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/pathplan'
8095:info:build Making all in sfio
8096:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/sfio'
8097:info:build Making all in Sfio_f
8098:info:build make[4]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/sfio/Sfio_f'
8099:info:build make[4]: Nothing to be done for `all'.
8100:info:build make[4]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/sfio/Sfio_f'
8101:info:build make[4]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/sfio'
8102:info:build make[4]: Nothing to be done for `all-am'.
8103:info:build make[4]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/sfio'
8104:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/sfio'
8105:info:build Making all in vmalloc
8106:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/vmalloc'
8107:info:build make[3]: Nothing to be done for `all'.
8108:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/vmalloc'
8109:info:build Making all in ast
8110:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/ast'
8111:info:build make[3]: Nothing to be done for `all'.
8112:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/ast'
8113:info:build Making all in vpsc
8114:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/vpsc'
8115:info:build depbase=`echo block.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
8116:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT block.lo -MD -MP -MF $depbase.Tpo -c -o block.lo block.cpp &&\
8117:info:build     mv -f $depbase.Tpo $depbase.Plo
8118:info:build depbase=`echo blocks.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
8119:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT blocks.lo -MD -MP -MF $depbase.Tpo -c -o blocks.lo blocks.cpp &&\
8120:info:build     mv -f $depbase.Tpo $depbase.Plo
8121:info:build depbase=`echo constraint.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
8122:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT constraint.lo -MD -MP -MF $depbase.Tpo -c -o constraint.lo constraint.cpp &&\
8123:info:build     mv -f $depbase.Tpo $depbase.Plo
8124:info:build depbase=`echo generate-constraints.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
8125:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT generate-constraints.lo -MD -MP -MF $depbase.Tpo -c -o generate-constraints.lo generate-constraints.cpp &&\
8126:info:build     mv -f $depbase.Tpo $depbase.Plo
8127:info:build depbase=`echo pairingheap/PairingHeap.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
8128:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT pairingheap/PairingHeap.lo -MD -MP -MF $depbase.Tpo -c -o pairingheap/PairingHeap.lo pairingheap/PairingHeap.cpp &&\
8129:info:build     mv -f $depbase.Tpo $depbase.Plo
8130:info:build depbase=`echo solve_VPSC.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
8131:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT solve_VPSC.lo -MD -MP -MF $depbase.Tpo -c -o solve_VPSC.lo solve_VPSC.cpp &&\
8132:info:build     mv -f $depbase.Tpo $depbase.Plo
8133:info:build depbase=`echo csolve_VPSC.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
8134:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT csolve_VPSC.lo -MD -MP -MF $depbase.Tpo -c -o csolve_VPSC.lo csolve_VPSC.cpp &&\
8135:info:build     mv -f $depbase.Tpo $depbase.Plo
8136:info:build depbase=`echo variable.lo | sed 's|[^/]*$|.deps/&|;s|\.lo$||'`;\
8137:info:build     /bin/sh ../../libtool  --tag=CXX   --mode=compile /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../..  -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk  -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT variable.lo -MD -MP -MF $depbase.Tpo -c -o variable.lo variable.cpp &&\
8138:info:build     mv -f $depbase.Tpo $depbase.Plo
8139:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT csolve_VPSC.lo -MD -MP -MF .deps/csolve_VPSC.Tpo -c csolve_VPSC.cpp  -fno-common -DPIC -o .libs/csolve_VPSC.o
8140:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT generate-constraints.lo -MD -MP -MF .deps/generate-constraints.Tpo -c generate-constraints.cpp  -fno-common -DPIC -o .libs/generate-constraints.o
8141:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT solve_VPSC.lo -MD -MP -MF .deps/solve_VPSC.Tpo -c solve_VPSC.cpp  -fno-common -DPIC -o .libs/solve_VPSC.o
8142:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT pairingheap/PairingHeap.lo -MD -MP -MF pairingheap/.deps/PairingHeap.Tpo -c pairingheap/PairingHeap.cpp  -fno-common -DPIC -o pairingheap/.libs/PairingHeap.o
8143:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT variable.lo -MD -MP -MF .deps/variable.Tpo -c variable.cpp  -fno-common -DPIC -o .libs/variable.o
8144:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT blocks.lo -MD -MP -MF .deps/blocks.Tpo -c blocks.cpp  -fno-common -DPIC -o .libs/blocks.o
8145:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT block.lo -MD -MP -MF .deps/block.Tpo -c block.cpp  -fno-common -DPIC -o .libs/block.o
8146:info:build libtool: compile:  /usr/bin/clang++ -std=c++11 -DHAVE_CONFIG_H -I. -I../.. -I../../lib -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX14.sdk -arch arm64 -MT constraint.lo -MD -MP -MF .deps/constraint.Tpo -c constraint.cpp  -fno-common -DPIC -o .libs/constraint.o
8147:info:build csolve_VPSC.cpp:19:10: fatal error: generate-constraints.cpp'iostream' file not found
8148:info:build    19 | #inclu:de <iostream>
8149:info:build       |          ^~~~~~~~~~
8150:info:build 19:10: fatal error: 'algorithm' file not found
8151:info:build    19 | #include <algorithm>
8152:info:build       |          ^~~~~~~~~~~
8153:info:build In file included from blocks.cpp:22:
8154:info:build ../../lib/vpsc/blocks.h:26:10: fatal error: 'set' file not found
8155:info:build    26 | #inclblock.cppu:d19e: 10<:s efatal error: t'cassert' file not found>
8156:info:build       |          ^~~~~
8157:info:build    19 | #include <cassert>
8158:info:build       |          ^~~~~~~~~
8159:info:build solve_VPSC.cpp:20:10: fatal error: 'cassert' file not foundpairingheap/PairingHeap.cpp:25:10: fatal error: 'vector' file not found
8160:info:build   
8161:info:build    20 | #include <c25assert>
8162:info:build       |          ^~~~~~~~~
8163:info:build  | #include <vector>
8164:info:build       |          ^~~~~~~~
8165:info:build In file included from constraint.cpp:19:
8166:info:build ../../lib/vpsc/constraint.h:21:10: fatal error: 'iostream' file not found
8167:info:build    21 | #include <iostream>
8168:info:build       |          ^~~~~~~~~~
8169:info:build In file included from variable.cpp:16:
8170:info:build ../../lib/vpsc/variable.h:18:10: fatal error: 'vector' file not found
8171:info:build    18 | #include <vector>
8172:info:build       |          ^~~~~~~~
8173:info:build 1 error generated.
8174:info:build 1 error generated.
8175:info:build 1 error generated.
8176:info:build 1 error generated.
8177:info:build 1 error generated.
8178:info:build 1 error generated.
8179:info:build 1 error generated.
8180:info:build make[3]: *** [pairingheap/PairingHeap.lo] Error 1
8181:info:build make[3]: *** Waiting for unfinished jobs....
8182:info:build make[3]: *** [variable.lo] Error 1
8183:info:build 1 error generated.
8184:info:build make[3]: *** [constraint.lo] Error 1
8185:info:build make[3]: *** [blocks.lo] Error 1
8186:info:build make[3]: *** [generate-constraints.lo] Error 1
8187:info:build make[3]: *** [block.lo] Error 1
8188:info:build make[3]: *** [csolve_VPSC.lo] Error 1
8189:info:build make[3]: *** [solve_VPSC.lo] Error 1
8190:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib/vpsc'
8191:info:build make[2]: *** [all-recursive] Error 1
8192:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0/lib'
8193:info:build make[1]: *** [all-recursive] Error 1
8194:info:build make[1]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0'
8195:info:build make: *** [all] Error 2
8196:info:build make: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0'
8197:info:build Command failed:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/work/graphviz-9.0.0" && /usr/bin/make -j12 -w all
8198:info:build Exit code: 2
8199:error:build Failed to build graphviz: command execution failed
8200:debug:build Error code: CHILDSTATUS 27711 2
8201:debug:build Backtrace: command execution failed
8202:debug:build     while executing
8203:debug:build "system {*}$notty {*}$callback {*}$nice $fullcmdstring"
8204:debug:build     invoked from within
8205:debug:build "command_exec -callback portprogress::target_progress_callback build"
8206:debug:build     (procedure "portbuild::build_main" line 10)
8207:debug:build     invoked from within
8208:debug:build "$procedure $targetname"
8209:error:build See /opt/local/var/macports/logs/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_graphics_graphviz/graphviz/main.log for details.